Actually fix forwarding.
This commit is contained in:
parent
4c684f1718
commit
97b13a813f
5 changed files with 78 additions and 79 deletions
|
@ -24,9 +24,6 @@ class EXBarrier extends MultiIOModule {
|
|||
val branchOut = Output(Bool())
|
||||
val jumpIn = Input(Bool())
|
||||
val jumpOut = Output(Bool())
|
||||
val forwardEx = Output(Bool())
|
||||
val forwardExAddr = Output(UInt(5.W))
|
||||
val forwardExData = Output(UInt(32.W))
|
||||
})
|
||||
|
||||
val ALUResult = RegInit(UInt(32.W), 0.U)
|
||||
|
@ -64,8 +61,4 @@ class EXBarrier extends MultiIOModule {
|
|||
val jump = RegInit(Bool(), false.B)
|
||||
jump := io.jumpIn
|
||||
io.jumpOut := jump
|
||||
|
||||
io.forwardEx := io.writeEnableIn && !io.memReadIn
|
||||
io.forwardExAddr := io.writeAddrIn
|
||||
io.forwardExData := io.ALUResultIn
|
||||
}
|
||||
|
|
Loading…
Add table
Add a link
Reference in a new issue