Working forwarding (i think).
This commit is contained in:
parent
f2964c280c
commit
bcbe07b601
6 changed files with 92 additions and 9 deletions
|
@ -25,6 +25,9 @@ class EXBarrier extends MultiIOModule {
|
|||
val branchOut = Output(Bool())
|
||||
val jumpIn = Input(Bool())
|
||||
val jumpOut = Output(Bool())
|
||||
val forwardEx = Output(Bool())
|
||||
val forwardExAddr = Output(UInt(5.W))
|
||||
val forwardExData = Output(UInt(32.W))
|
||||
})
|
||||
|
||||
io.ALUResultOut := io.ALUResultIn
|
||||
|
@ -63,5 +66,8 @@ class EXBarrier extends MultiIOModule {
|
|||
val jump = RegInit(Bool(), false.B)
|
||||
jump := io.jumpIn
|
||||
io.jumpOut := jump
|
||||
}
|
||||
|
||||
io.forwardEx := io.writeEnableIn && !io.memReadIn
|
||||
io.forwardExAddr := io.writeAddrIn
|
||||
io.forwardExData := io.ALUResultIn
|
||||
}
|
||||
|
|
Loading…
Add table
Add a link
Reference in a new issue