Working adder.

This commit is contained in:
Sebastian Bugge 2024-09-27 04:22:10 +02:00
parent 88cab777f9
commit 44ccf12cad
Signed by: kaholaz
GPG key ID: 2EFFEDEE03519691
9 changed files with 214 additions and 42 deletions

View file

@ -0,0 +1,20 @@
package FiveStage
import chisel3._
import chisel3.experimental.MultiIOModule
class IFBarrier extends MultiIOModule {
val io = IO(
new Bundle {
val PCin = Input(UInt(32.W))
val PCout = Output(UInt(32.W))
val instructionIn = Input(new Instruction)
val instructionOut = Output(new Instruction)
})
val PC = RegInit(UInt(32.W), 0.U)
PC := io.PCin
io.PCout := PC
io.instructionOut := io.instructionIn
}