Almost working jump.

This commit is contained in:
Sebastian Bugge 2024-10-04 04:11:26 +02:00
parent 92d0dfd9eb
commit 323e373d0e
Signed by: kaholaz
GPG key ID: 2EFFEDEE03519691
9 changed files with 89 additions and 35 deletions

View file

@ -14,6 +14,10 @@ class IDBarrier extends MultiIOModule {
val r1ValueOut = Output(UInt(32.W))
val r2ValueIn = Input(UInt(32.W))
val r2ValueOut = Output(UInt(32.W))
val returnAddrIn = Input(UInt(32.W))
val returnAddrOut = Output(UInt(32.W))
val jumpIn = Input(Bool())
val jumpOut = Output(Bool())
val ALUopIn = Input(UInt(4.W))
val ALUopOut = Output(UInt(4.W))
val branchTypeIn = Input(UInt(3.W))
@ -44,6 +48,14 @@ class IDBarrier extends MultiIOModule {
r2Value := io.r2ValueIn
io.r2ValueOut := r2Value
val returnAddr = RegInit(UInt(32.W), 0.U)
returnAddr := io.returnAddrIn
io.returnAddrOut := returnAddr
val jump = RegInit(UInt(32.W), 0.U)
jump := io.jumpIn
io.jumpOut := jump
val ALUop = RegInit(UInt(4.W), 0.U)
ALUop := io.ALUopIn
io.ALUopOut := ALUop