From cb1a810317fbdb4bd37fed0220504dc471eedee3 Mon Sep 17 00:00:00 2001 From: Peter Aaser Date: Thu, 14 Nov 2019 12:09:18 +0100 Subject: [PATCH 01/12] Update Manifest.scala Accidentally had babby mode set to true. sorry --- src/test/scala/Manifest.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/src/test/scala/Manifest.scala b/src/test/scala/Manifest.scala index 4298317..cc6ed6b 100644 --- a/src/test/scala/Manifest.scala +++ b/src/test/scala/Manifest.scala @@ -20,7 +20,7 @@ object Manifest { val singleTest = "forward2.s" - val nopPadded = true + val nopPadded = false val singleTestOptions = TestOptions( printIfSuccessful = true, From 195f4592bf759509ba5b996e78bf12fd90f4be4e Mon Sep 17 00:00:00 2001 From: erling Date: Wed, 20 Nov 2019 10:49:31 +0100 Subject: [PATCH 02/12] Fixed typo in hot to run the Branch Profiler --- theory2.org | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/theory2.org b/theory2.org index f98e836..8400979 100644 --- a/theory2.org +++ b/theory2.org @@ -203,7 +203,7 @@ For this task it is necessary to use something more sophisticated than ~Map[(Int, Boolean)]~ to represent your branch predictor model. - The skeleton code is located in ~testRunner.scala~ and can be run using testOnly FiveStage.ProfileTest. + The skeleton code is located in ~testRunner.scala~ and can be run using testOnly FiveStage.ProfileBranching. With a 2 bit 8 slot scheme, how many mispredicts will happen? Answer with a number. From 3b635be2dc5ba57dbd87ae872818f2c1004a6bd6 Mon Sep 17 00:00:00 2001 From: peteraaser Date: Mon, 1 Jun 2020 14:16:08 +0200 Subject: [PATCH 03/12] Github render test --- README.org | 2 - exercise.org | 193 +++----------------------- instructions.org | 2 +- src/main/scala/Decoder.scala | 8 +- src/test/scala/RISCV/testRunner.scala | 20 +-- 5 files changed, 31 insertions(+), 194 deletions(-) diff --git a/README.org b/README.org index ddcaf45..ea9f78f 100644 --- a/README.org +++ b/README.org @@ -4,8 +4,6 @@ This is the coursework for the graded part of the TDT4255 course at NTNU. * Instructions - #+ATTR_HTML: title="Join the chat at https://gitter.im/RISCV-FiveStage/community" - [[https://gitter.im/RISCV-FiveStage/community?utm_source=badge&utm_medium=badge&utm_campaign=pr-badge&utm_content=badge][file:https://badges.gitter.im/RISCV-FiveStage/community.svg]] To get started with designing your 5-stage RISC-V pipeline you should follow the [[./exercise.org][Exercise instructions]] diff --git a/exercise.org b/exercise.org index cd9ebe5..e246586 100644 --- a/exercise.org +++ b/exercise.org @@ -1,185 +1,24 @@ -* Exercise description - The task in this exercise is to implement a 5-stage pipelined processor for - the [[./instructions.org][RISCV32I instruction set]]. +* Getting started + In order to make a correct design in a somewhat expedient fashion you need to be + *methodical!* - For exercise 1 you will build a 5-stage processor which handles one instruction - at a time, whereas in exercise 2 your design will handle multiple instructions - at a time. - This is done by inserting 4 NOP instructions inbetween each source instruction, - enabling us to use the same tests and harness for both exercise 1 and 2. + This means you should have a good idea of how your processor should work *before* + you start writing code. While chisel is more pleasent to work with than other HDLs + the [[https://i.imgur.com/6IpVNA7.jpg][bricoleur]] approach is not recommended. - Once you are done with exercise 1, you can up the difficulty by setting nopPad - to false and start reading the [[exercise2.org][ex2 guide]]. - - In the project skeleton files ([[./src/main/scala/][Found here]]) you can see that a lot of code has - already been provided, which can make it difficult to get started. - Hopefully this document can help clear up at least some of the confusion. - First an overview of what you are designing is presented, followed by a walk-through - for getting the most basic instructions to work. + My recommended approach is therefore to create an RTL sketch of your processor design. + Start with an overall sketch showing all the components, then drill down. + In your sketch you will eventually add a box for registers, IMEM and DMEM, which + should make it clear how the already finished modules fit into the grander design, + making the skeleton-code less mysterious. - In order to orient yourself you first need a map, thus a high level overview of the - processor you're going to design is showed underneath: - Keep in mind that this is just a high level sketch, omitting many details as well - entire features (for instance branch logic) - - *Important* - When you are done, use the provided ./deliver.sh script to pack up the archive. - If you're unable to run bash scripts then please ensure that you deliver a *zip* archive. - Not .rar or anything else, just use zip because my grading script knows how to handle that - in addition to the one used by deliver.sh - named after your username. Nothing more, nothing less, just your username. - This archive should be runnable as is, thus you need to include all the necessary files. - (I may or may not diff the tests to check if you're screwing with them) - - #+CAPTION: A very high level processor schematic. Registers, Instruction and data memory are already implemented. - [[./Images/FiveStage.png]] - - Now that you have an idea of what you're building it is time to take inventory of - the files included in the skeleton, and what, if anything should be added. - - + [[./src/main/scala/Tile.scala]] - This is the top level module for the system as a whole. This is where the test - harness accessses your design, providing the necessary IO. - *You should not modify this module for other purposes than debugging.* - - + [[./src/main/scala/CPU.scala]] - This is the top level module for your processor. - In this module the various stages and barriers that make up your processor - should be declared and wired together. - Some of these modules have already been declared in order to wire up the - debugging logic for your test harness. - This file corresponds to the high-level overview in its entirety. - *This module is intended to be further fleshed out by you.* - As you work with this module, try keeping logic to a minimum to help readability. - If you end up with a lot of signal select logic, consider moving that to a separate - module. - - + [[./src/main/scala/IF.scala]] - This is the instruction fetch stage. - In this stage instruction fetching should happen, meaning you will have to - add logic for handling branches, jumps, and for exercise 2, stalls. - The reason this module is already included is that it contains the instruction - memory, described next which is heavily coupled to the testing harness. - *This module is intended to be further fleshed out by you.* - - + [[./src/main/scala/IMem.scala]] - This module contains the instruction memory for your processor. - Upon testing the test harness loads your program into the instruction memory, - freeing you from the hassle. - *You should not modify this module for other purposes than maaaaybe debugging.* - - + [[./src/main/scala/ID.scala]] - The instruction decode stage. - The reason this module is included is that the registers reside here, thus - for the test harness to work it must be wired up to the register unit to - record its state updates. - *This module is intended to be further fleshed out by you.* - - + [[./src/main/scala/Registers.scala]] - Contains the registers for your processor. Note that the zero register is alredy - disabled, you do not need to do this yourself. - The test harness ensures that all register updates are recorded. - *You should not modify this module for other purposes than maaaaybe debugging.* - - + [[./src/main/scala/MEM.scala]] - Like ID and IF, the MEM skeleton module is included so that the test harness - can set up and monitor the data memory - *This module is intended to be further fleshed out by you.* - - + [[./src/main/scala/DMem.scala]] - Like the registers and Imem, the DMem is already implemented. - *You should not modify this module for other purposes than maaaaybe debugging.* - - + [[./src/main/scala/Const.scala]] - Contains helpful constants for decoding, used by the decoder which is provided. - *This module may be fleshed out further by you if you so choose.* - - + [[./src/main/scala/Decoder.scala]] - The decoder shows how to conveniently demux the instruction. - In the provided ID.scala file a decoder module has already been instantiated. - You should flesh it out further. - You may find it useful to alter this module, especially in exercise 2. - *This module should be further fleshed out by you.* - - + [[./src/main/scala/ToplevelSignals.scala]] - Contains helpful constants. - You should add your own constants here when you find the need for them. - You are not required to use it at all, but it is very helpful. - *This module can be further fleshed out by you.* - - + [[./src/main/scala/SetupSignals.scala]] - You should obviously not modify this file. - You may choose to create a similar file for debug signals, modeled on how - the test harness is built. - *You should not modify this module at all.* - - -** Tests - In addition to the skeleton files it's useful to take a look at how the tests work. - You will not need to alter anything here other than the [[./src/test/scala/Manifest.scala][test manifest]], but some - of these settings can be quite useful to alter. - The main attraction is the test options. By altering the verbosity settings you - may change what is output. - The settings are: - - + printIfSuccessful - Enables logging on tests that succeed. - You typically want this turned off, at least for the full test runner. - - + printErrors - Enables logging of errors. You obviously want this one on, at least on the single - test. - - + printParsedProgram - Prints the desugared program. Useful when the test asm contains instructions that - needs to be expanded or altered. - Unsure what "bnez" means? Turn this setting on and see! - - + printVMtrace - Enables printing of the VM trace, showing how the ideal machine executes a test - - + printVMfinal - Enables printing of the final VM state, showing how the registers look after - completion. Useful if you want to see what a program returns. - - + printMergedTrace - Enables printing of a merged trace. With this option enabled you get to see how - the VM and your processor executed the program side by side. - This setting is extremely helpful to track down where your program goes wrong! - This option attempts to synchronize the execution traces as best as it can, however - once your processor design derails this becomes impossible, leading to rather - nonsensical output. - Instructions that were only executed by either VM or Your design is colored red or - blue. - - *IF YOU ARE COLOR BLIND YOU SHOULD ALTER THE DISPLAY COLORS!* - - + nopPadded - Set this to false when you're ready to enter the big-boy league - - + breakPoints - Not implemented. It's there as a teaser, urging you to implement it so I don't have to. - - -** Getting started - In order to make a correct design in a somewhat expedient fashion you need to be - *methodical!* - - This means you should have a good idea of how your processor should work *before* - you start writing code. While chisel is more pleasent to work with than other HDLs - the [[https://i.imgur.com/6IpVNA7.jpg][bricoleur]] approach is not recommended. - - My recommended approach is therefore to create an RTL sketch of your processor design. - Start with an overall sketch showing all the components, then drill down. - In your sketch you will eventually add a box for registers, IMEM and DMEM, which - should make it clear how the already finished modules fit into the grander design, - making the skeleton-code less mysterious. - - To give you an idea of how a drill down looks like, here is my sketch of the ID stage: - #+CAPTION: Instruction decode stage, showing the various signals. + To give you an idea of how a drill down looks like, here is my sketch of the ID stage: + #+CAPTION: Instruction decode stage, showing the various signals. + #+attr_html: :width 1000px + #+attr_latex: :width 1000px [[./Images/IDstage.png]] - I would generally advice to do these on paper, but don't half-ass them. + I would generally advice to do these on paper, but don't half-ass them. ** Adding numbers diff --git a/instructions.org b/instructions.org index c4c9ea0..07be128 100644 --- a/instructions.org +++ b/instructions.org @@ -2,7 +2,7 @@ 4.2. Register-Register Arithmetic Instructions -------------------------------------------------------------------------- -These do not render well on github, try using your text editor. +If these do not render well on github, try using your text editor. * ADD diff --git a/src/main/scala/Decoder.scala b/src/main/scala/Decoder.scala index 98516f5..758c250 100644 --- a/src/main/scala/Decoder.scala +++ b/src/main/scala/Decoder.scala @@ -8,7 +8,7 @@ import chisel3.util.ListLookup * This module is mostly done, but you will have to fill in the blanks in opcodeMap. * You may want to add more signals to be decoded in this module depending on your * design if you so desire. - * + * * In the "classic" 5 stage decoder signals such as op1select and immType * are not included, however I have added them to my design, and similarily you might * find it useful to add more @@ -36,12 +36,12 @@ class Decoder() extends Module { val Y = 1.asUInt(1.W) /** - * In scala we sometimes (ab)use the `->` operator to create tuples. + * In scala we sometimes (ab)use the `->` operator to create tuples. * The reason for this is that it serves as convenient sugar to make maps. - * + * * This doesn't matter to you, just fill in the blanks in the style currently * used, I just want to demystify some of the scala magic. - * + * * `a -> b` == `(a, b)` == `Tuple2(a, b)` */ val opcodeMap: Array[(BitPat, List[UInt])] = Array( diff --git a/src/test/scala/RISCV/testRunner.scala b/src/test/scala/RISCV/testRunner.scala index 3d9d60c..9c5f977 100644 --- a/src/test/scala/RISCV/testRunner.scala +++ b/src/test/scala/RISCV/testRunner.scala @@ -136,18 +136,18 @@ object TestRunner { events match { // Scala syntax for matching a list with a head element of some type and a tail - // `case h :: t =>` - // means we want to match a list with at least a head and a tail (tail can be Nil, so we - // essentially want to match a list with at least one element) - // h is the first element of the list, t is the remainder (which can be Nil, aka empty) + // `case h :: t =>` + // means we want to match a list with at least a head and a tail (tail can be Nil, so we + // essentially want to match a list with at least one element) + // h is the first element of the list, t is the remainder (which can be Nil, aka empty) - // `case Constructor(arg1, arg2) :: t => ` - // means we want to match a list whose first element is of type Constructor, giving us access to its internal - // values. + // `case Constructor(arg1, arg2) :: t => ` + // means we want to match a list whose first element is of type Constructor, giving us access to its internal + // values. - // `case Constructor(arg1, arg2) :: t => if(p(arg1, arg2))` - // means we want to match a list whose first element is of type Constructor while satisfying some predicate p, - // called an if guard. + // `case Constructor(arg1, arg2) :: t => if(p(arg1, arg2))` + // means we want to match a list whose first element is of type Constructor while satisfying some predicate p, + // called an if guard. case Taken(from, to) :: t if( predictionTable(from)) => helper(t, predictionTable) case Taken(from, to) :: t if(!predictionTable(from)) => 1 + helper(t, predictionTable.updated(from, true)) case NotTaken(addr) :: t if( predictionTable(addr)) => 1 + helper(t, predictionTable.updated(addr, false)) From b2b39eec7a4b302deebd23312581fcf4902b33f5 Mon Sep 17 00:00:00 2001 From: peteraaser Date: Mon, 1 Jun 2020 14:16:36 +0200 Subject: [PATCH 04/12] Oops forgot to add files --- Images/rasta.png | Bin 0 -> 53398 bytes description.org | 184 +++++++++++++++++++++++++++++++++++++++++++++++ 2 files changed, 184 insertions(+) create mode 100644 Images/rasta.png create mode 100644 description.org diff --git a/Images/rasta.png b/Images/rasta.png new file mode 100644 index 0000000000000000000000000000000000000000..80ee132f6a486b325acbe0a8d3a58468aa82ecff GIT binary patch literal 53398 zcmdSAbyQqiw=Y;HMo5t00Rkkrli*Gg+=D~m?hb`ZAOwft4uMd(yH~K_5TtN-*WlK> z$T{b`=X>wId++G((WC31RH}--*P3gs`I9+!;0GB|)F%W_Kp+sRxR{VU2=uTH1iI(= z_#W`d7lOe8;Gg^U@5L1#KYl#5AUh8Ny#$F1y;F2f-k#A8&=^Zuznrt)xfq7148PAH zGv|}p|MKCSR+^Z98-XgavSP&6dim~DHMHj6~KgsQ-XFOVHh%b%{ zv5W)0dqnRGna@_fkNI`PhnrFKqmfLBLzYL#Q$<=r>k5lDC%2qPPG*(dRK{R8WXB{P z0*@7y+=?qz;6+7~TPo()v9S^qe}F(dK4!NQFTE&|y~Zrcr|yAN$@qIe7Jj#c+y^EB zysB^w9gy$dy(Rc2dG`jy{5bCS8-oXa?{&+kfd8<6HoJAJy=hcFHz-#JuEK)2iuWMJ z@899q_E~}I6gXb*7%*$ZYjwQW%WLQGi9DMsc2*`s+{pV_p5t|4mjkN$^P`w7B9ZAL z8dR`V%P5||=q3rkSuZBhuW=fj$; znL3P7*%8=!Vkj`DUywN?{wDuwQh*g&Kj)D2;?2Z3hkCfMUf0@4)$9Y{p3@3+#lpsg zgMrRJT`h^?VJwsxi98r6#Fn=N(G=5PS_=!Q;P`)}pxH$>tPhfS17XtH=i9g$a-zm* zG$kdo8dH2tof{BnQn~Ur5Tn|>+b?S2szQwwTTjAVziN0u?eILqN(YRTq=%1~Y?ChR zbyZ^g`+5bJJ};M{okTmRt@_y8nB(z%4)yA#O3!l3OKD(K-}!`#Ey*;Fw8I?d@~O=C zuqyLETn;?)Sj6i$&lN0Kd$+1+Po?qB;?*WJiJ@uFa2!Wekq3LLam2BnhpxFvCZ-*S zf8*W(d>wtL;Lw~Th%Us*;zyVsTrH+G5_yq$#2-!4aVW1*@n?^Ct(B88^mV#<*Iqu@ zouztBy8490u6WJ@v1YqOu9iuLt(QWGv0ugPpV&^tM=y5+ zIA0y5CQQG*o)K7t^gVE!wXa2kDtDru{zNOElxAAAJ3hLWMi#m<&h?NN^?I3u>$U7t z2Ag8e;=$Suysgzcv^k9`Ta{&n-;}@GD51Z0)wJzE^(=R!e+Z00hwOOBd~5z=ow5qY z&%B<|nZ!%id_83s=*dcbh{0ZGV4W_q07pcoB)w-YeKS8!dHd3WyxNlivuBnb6Aurt zb5Ed&A*ORS)*jpV>a>z`iu?Nkn*md73oS?JL-aMi7e9582eOeOO%_T%sVECwj-WQs zKh<9-EZrZi(T;b7o?&HIN7>E9_Q*Tyu?yM`5H%1wF+EIcweGUdIzGt5cyRt7OF=76;C%To@=awN0@sE9^G2W4L-A3PrM+ z?aSYNF#|qvsKo9&rRL97b$2wezWOM()KI}g6WEQj!4t_a^e#G_J!Q)Dnti`-6smaA z7aN#qvGsdr4JU`lJHgf>d1GdP9lza+HuD+m1dNadInKm%^5GyRyL35g?_5GOA65}L zU6hFfJcOPA}9gR zk8Pja{lECrWhz?NjLp^NEy>nN;Mlb+K?@ z(Bceysn{Eq40Pq)bd?gnUY)fC4N@3YI+<6`YW58`h+0>TLJ@0PpdMK)wES_eat4WT zXiQRFvKSSaO1_p0F;5x=p@&5Z2AbzH2!0P;gcSW@mLm0E4JAiO*@hcJFfXOu}weMLzdXUk3PKE{Ry zt@Otwm@mX1eh?yeP2cRw878ZA z<)uMl6QGBOt6*IGkq{rYpevO5 zT+Omjnx$A==fHfmnF#OybcBh*&VJ7MaQi;##eL2^4}qa#tA?DR`nG?C^fh- z+mQ8o*u91%6pke()1t-78_hg;pAZmh%es3i12H;he8c{AWM*?#{7$f}&sTc($`__O57 zh1t~BH!Gd)E*HH!Y%3{$0i;xQCYRSg=~^h%QzjN|wF?K(HCW(_ghj8nkmV5Q_PsxS z@>a`gAUf)Z&S+hSFFxcqxPEC~$3#RM9E`YaJBlcv#%^Cj{1ISkyX83r8_N3sTr_~OukgBzYgL6vUl^m+Yq1LPuP|-$00rA_GIHAJbCb}uQXIu0PaT}dVW*TgHEUAnQD$%Q}0Vpf1-)XhX9m5iYk zvg(R%n(rgNcKGUU*=Ja(whTy<HGZ5a1?h7!%_N$$2fqv$#YWl^yy8Zo2S zTUUv3NBkU;`VeH*Kf8qEZj-js=C1ZcRFf0GzvC5(V=JasZn6Hi+H0%!h61a<@eN0m zemlAv?mp2~@{kmT7@+GQZ_6JACssS72_`NxIPan^<pG%36+%)ly2K#=idOlnY5) z45UoWO`N1yP-WeNd7_>`#iD?K;!%IM7twDG&c7Li`+~V?;o`s!@C%$@AeXa=lh0SF zC7n@OM%(~op(gj!V0s`e?q%0~OixBT)hC(~MeqHen6D`6MHom&D&=Yb2jtjo72rjr zGvdI0&Gqbk8Hwc0lAm&*G&qzbLy=&^wzXtIw)rTFHU9YN@Su-4F4f_`z{=F9k_BLD z7g>?L+8pNpyB-3P}Hy$QUY>A z*`&-~uNjF8*P_qzE|3b)>YGhm=BZN{kR^zpabdjiGM+}2q6%h#Q)#sKFipI77`sXl zuQq;bVc!|+x(^{3Qz$ad^#JBXu)bL@YhaNgW@4aI*=Tj zAFHQ5^U+&a5o%tSnJ6-ai@Cu88rAiNG^kX=Hd)t&0|sN!Qyz*!Vjm7)4$9|NOjrVw zCL~iUwek$512%gV2qBw#0pQdBd6supKky_0s2U6S!acIJD< zk8-3+FaBn&r4jq=@XlW%>v)s(CHye}TsJj!MH!&o}J3#}fWf zZhS_mB=Hdz$9IiXQ)S=s?sS!OH66UV9c`YR>-2ZN*^O&MZCbO_l!QfIfEq4pzr0nC7LaVOn zcXwTjf->z`9KH|m#c+)eCr<}oW0iO3H_`5Ak=Oz@63nz}D~2pJ5T=8=Q=A>cvD$wCz=d)bPW#ZdV|ONO!pp#F}w5I;ZuYK+;CxN!uW-H>6aBj#2^_M zQ1>xGXF#gNxW#WxVL=1~gHEbuW^gn?M^?cn5`xq1%i)BE7=G0YO>R>qj5qo2+X^3j zM`Y&{W)Li)`)1dHIc;T(A6wVr4nxeb{KQ7&{I0%*$0@nm`;CBXrU_OVIia9vNh2Rht~^r;X?a4>;a>Gzj{& zlWnQ?brc+yGV!G|N4bo9lnW09enPNS2m2G^;(6aodMY5Za&ESC+3=(3<`Bm2OW4IK z* z8jaKgbgDp~`e<+?YVjSnvC$TO7X@q(Egy1pv9sDvT^a|P+9yP`1u+VN!jTk0%1}Gu zL)p>21SZLaKnHA*r~c53H?S=MP_o)iNO4f?tOIS=r+hUMV?sHO*U;ty?f5WUsWQ$8 z*)@67%}11qU@M6&XbV7O4U4c4EBYN*fyC#PQDwNh>fTnNd|?AA^ANDFQiABha`JHJ z#FVEtQq*?Hm_#+cOl!K&_2_auXFz`eEvIaX7M}#8?7K8>huLRJATp}lP{pmg^aFuqL?`=gR&U` z?y(ySWlff9O*pCMkJ41II+epn|90_@G_UjjhcwTS2SljmbqenZCG8u0!Xj|Gnc+@At48ZWmy?pi&s|uWO8)lQC5lo{-`H*G+I)C7_0BA&FjE`c$BT( zgs-*z5FB=E%ng^__bWlsiS-p=PWlBG$v&~N&4TC?(_ph=Nover&aW*zWJXXW&uRn7 zTm9RZVkpM5^{SkCHE1Ol)KD`Dnd-^GgH4K2Z*~Y{q1vY>kBEkcbk#0uEmybign{#y zzr8B;(5UC5%`~+<2zLLpeCV|7#~=3qSy%Mhyt<=B9bt-1rbrdEb!Ebc;_RSO7fM@6 zb`%GQ?*J!bTVg41>?-a~Rwyc~sTun}S*jr8XJoDo;zeJ;Cn4_3n&hSaDCW#kKLywn zg)O9okRR-$s`#RS$oc}qob_Zo_GDJ)-OX<;`qh9t_xR%T_50z@uvn;&(9CxL0sw^z zn0C1~-vR<~D5rN#wxmkd_~P@KdU;j zPrb&#I7mDGz)Gz3rQ!+C+ZTDy7~oj9?2R0M+hPhqfGq}nC=U>TZT>|C785YkRjDr} zp!H2dHDZV9L9yk?!1*CC5O2%Q z|JzEzIhWucGJ%cqVd=aHv$!$%=|OVJ^!K3j4~RK?7g9e-47of%!X$Tj+cF@GCYdhT zrL_J*w=h|PKC(c(j5dZY(yPpK0MIpmjyL`RS_?^w5Yx!vtV+x#G9s_PvG&#xHObSYbR$*F__ z?ynO7fC9-VPk6o}U2#B&10YYc7lX+104pOB4skVBzU=J5z$16Un>)1|M-}P0FS=nN z{^8KOGce$sXUR&3TP-=4e}PuZvkNz)9#DjJd|2FPS%AM$4S@<7Oz$M*z^p5|@n={2 z?ru5t{{KC=c=fK!S4a{%WK<0xlSPO@d)+x((PI#3{2qeG`zXmS-@!g;eB$p)%xiok z#7cl(`u<&X`Se%0#^-(dPY)&_`4Q!uz~Z*%%wuHP>nT9qY)h>##-BXb{C%-6?`nXF zONjD|yg=kju_ufvD6qO% z1d^!F)l9KOJkhRb$hq?#3Mju`A}j$9#k?kL(?+`9 z@DcWd@1FRn^~Jwqj}YNrO9e2oKT9{iJX3}y;}5LvgLKrzJ@-EV6)9ioQWONp&6Q|B zSMRfC*(s7QI%krt(^M#8wPIjo*bT4L6b-CsB%M4-0&Qa>lHkngBv&^kZ+>$Ho0oSu zpbljE{j29fdGdT&BA*102zCKTLfsee?9IfRWV78*bO8)Pam+@+dv5rU_K2`0eK#h@ zncaSglHw^&HTZNC<1_8hCZ|4H*hzX#3%CEqJ&?Dw{#`W(|Fg{p5^j39c>am}JEk$h zv7KGOjCNqi-5L`}F}56&19>y#Y{wb4Bh{E4h%!=N`Z+~~ZT=~4-20OlZl?ViICPV` z1F^#cdL`W98UG8|1yT0=Q`$`GD%WoaYgo1A4L(S=mUvlqrDm3PG3b_zpu$zr7sAF{ z@3M}OYeSoRXNoy8Xt?|}b*J<43AVo;IQ9>9Ifi~w?Qz6bVFp#z^d{GjJcu&6=)w@V zwWnz(nVi6WGEsq&plf2e<#2zvKMloP;E9C4v=BK#@z|NxYka_PerKPuLu)ZhPTJ_% zuTmtITPvCG*NT~1JrY8m-!EaBR+V#7_lfk~(YNucWCU}z#}faH{tqeCQcfVGt_|sO za=(<9{!wAA8tXaJO6c$-wtI*JhnF{l8*uw-_IYc8qcdKGwkhaO!J9@bmI2&Ft8~?L zfAf)6?42HRHlJqdts8P<#Isyqab2ooh=cC8nR)gic#US-*?H;YVjUyWMs3!{NIIdK5C0*E<($?v&Sg$I`VxaTLJQC|qI) z)D{jn4R=K;+WdloazQ`aNemLa1dVoMcjq7eqUEhP=n^k6XM1-~YCCQ6OT_Y1N<&vR ztN*gySPu6mmfr!!+pKi`&=JH*J3rwEgQPfjYBpU4v2wgkJyoRJ@zx{Y2f8aluQ^vP zz%l_IKUe$z0F^MP%>f>UX~b;CjAQ0G&j8$7eMMh8@ypvx+pfV~S2DR;QV-EGVeWv# zK@phN$xQ{fdXJ-G5?zKR4EcLlwj`B@lCq~>KClwqL9(MJvwD23PCarTj|Y~wsx)G+ zZu&c{wHHDj3}!iv;XbL}w0H)OS`{!acdJsmW~#=27idWfRHkxM&#FzcV*V6k1}joa zVbF}9K)w0Spu51dj3T5bz@qc?>>c|7lzOT!)tX%Y0F6WL*p?%Mv}C$cf5RwV3Q(6a z5aFzb&59B3zS1bO+wt8V-6lYqfM0Wu)>m!i6hDd1d&a=wJ>~UlhWj}{G3xw? z@%e9?uil%8mY!Ix!;D`Jw2mBOQPcLHDY-;?ak8No*ou8SJm3d_YyZ0!z=>|v z(2)*4K8QPa>VZ|nsi*7TT$ERfm8-3pbSPAE5K0 zB;?5|*7VyNDC5?b9C(CIBGtk%rtV(*;Bt{EjInZzNDZieU z%f~+^mce}JL{sT{e5$d{f-LOQ|E&a2X|Zf%N~N6kqRX!NOsAZDdDaOzl^t85@atI4 z9qW{e{gT}5o|E)morc$TeKUr+EC--)3Sasa-zq=d41SDZ=|Ccez5(U_qetM_!(*{)R*5rv%$$Wuk>5D;>}b3+k9k|78pfn&#j~`py-txr&AKa-pi7M3{W=uCloK>O(YvC z#j3x=fU{PCOl(f-RT~k_tIyzf#3aSJP z7}JzoX7j^BNZ(#u^E!{==o~+dufmR*^-|pogQO`Zeg&|c9+dT2)4ShD-}^)&1;$E1 z`v8yxjX&?Ypf}gVn4b;y+M22%5&m6BgJ6G`DLWSE{fN|@M`Z^Pn1Ter8@0*ZmLO!V zSDyI}RKePS(%p9vG7W%u?9w4h0$^FyDkFfXY3Pm~Z2@)Rap(Vo%XwEU+kWBtePs?( zdw;7N`5tIo!#)1K`X9fGV!z92RrseqbWJvCo))!f9j-Pt#u^8fTB-m;vM{Y(7XUZ| zIKV2-@`g)$3u;p@ztJJwdZerG2kXrWU$2((R32h;V~bYr=3)jZ&IbrN7w zC^g~|fB(E30u)sdy1V%_)2%I2kmktgN8}6;>|C7>Lm$zBLhI9Ey2Y2jOLl798?ZW~ ztVEu4^zcrVv4D6`67`0_7BE(5J05vcej-ny72|G7p4mQ@BMf_hWLqSlNG@Y5d_86$ z*kPv{Xm0VM(5|qN@y(JaVxLJW-)1`N_B&SmsT1eEBJa-Qv4Jb6beeM(O!{r{Bp5cR z4i&c$CUNr9PrQw?A-WH8e$96M7$eXV98io(jQE(YNBOc3W&GKnr8}(yO42{c4#p0v zRNbjOg0?)kbbW5mmj!Jtb6^fxA_FS&*QBMGI>9A`{0-E0V&4k%FR&bQwYU2UPQs{^ z%U&t6IZG^?U77pN>@O(UhUaY^mQGhi1J*-eqhTdFINGdQ9P(XlwwRmzByb{m-AP&d zs>}uBbSKmr4+NTu&U@tFmL}Ok9mkLyHGi2jLq9*;`I`i>)fNAf1jYW)`2sLR3E#3l zlIkjGQ6XnriCC>VPXp%MGhVnEEB)vj;*HT{N6JgX&3Tx2I4EGW{I(x#D4X-lg}TEN}=1JaI52U7d_p1>o~?j+4W27T0x# z9leh54$TD`HP0EJVFKtw=>ZutmzCk;Aq;g}dv)#up9y^`*(8 z=wF=3(5}h;L&KvE*0ZLO*+7z?djVK#H*d)kc(9QA%T^{+y4lz?Gx@RB6poB80xy*M zIs(m{!6{;A1LjshPMT7`sR+s`5c@FX?)au6WBI;rw-ySKD2e zj~x>+Lx$CO9m@MVzpDmF+1ax!r$*cbGHuppZ{*1&uCfV2@)X`g%+nm;sT)79l=Q6i zoT6{YJ8#rP!;}W+q9?vC-_NKc4y$~WM-amPs31{oCmA=)boZ^Sg8R2UIk%qogBpi) zpJw(e?Tc)r?9&2LF`eMF6Y=kRl+Jpyb?A(}l5y%+g9Z0>vz^FAP z&70d`uwxym;a+wk27UL<<>DEC(5{D?*rGcu+X_FV}KINl*y7` z`2Db|YMfsl(jydap#UdB-c?{q4+mHPeK>{#pXdS=sR|P(MoSg3Cjrg(r*ATu>H4q) zhiGVV>LBfuZ@~RV_?tO_^p));1vWfgM*l@(Eb|7plZcRm*mMrAs7mI&iMbWk{1a9E zHqiol&lPKC1`pAv-wrtO_`aCtX&&~>LCzIuNqb=1l_MTln$!=%17X;(%msm8iZ-a( zWxmdeJ<<`bSI~}gPW!T}x(R(SCvN!SAE5bnMkK0dIgh%=OwQ`oO+&rF@lh+mi^MbA zlvY*ycJ8fKXDfKZLW-3;52>oclj8xCJLf4?>pADAh{RMf0Ee%OT!N&>C7EP1^?J_b?74LF(c?*qDX~q=%i9tl8q+9QD80ohXNxe|;-sYZ~ZY6!sY$ z`T2R|*Neccgn}0?gM}lLiKU;*EjZkr;VUo(JDM22%p|t7?$|{+hFrO}13A~!aIB6@ zkuK+ZAm^V63AgWk8$;{m7Rv+NnxS3??+Nnht)2c0ci()@0bp}6bVDA(#31z+>GIlL z^Gb)&x&iGu@IaK^un^&(J0;2@*j>r+=S0O!?l0tnYwY^6} zqC%@}RPT^Hz`n24Ji8jiZYr1Q9q1~}wm5rEtV#VxgeL^~w>^DE-D#8hC^#}4YS#)5 zGs16_w3(Um)k!*T0BPs!s>yH?1(YySYHxwXru3_wv zYzdfw(T~bKbte&)t?FD4L4er5N(eyp895H2;tY8)PJOnfi`iLjO{asyqCBd^QDXa}^o$Z7+C~*D*{0Z&x-H$fA1R^* zwvtF|D;^sylUSEZN%`wL4j!rwnl*JS;^vv{s-9LF_0nIJ47QxX&q_+OWcj=N<$0)> zu}{}{;=sO@DJ$cKN2JZed4e-~g2%0n{4tgQ3u!2GjHX;2-tJ7?PFEqiuC-XGYxMzG zi4y>EbZfUF8$&Yr8XE1OC#NBFO*@AJ+gD7ZXn4t`%5h=iWj_^Ol#zaasa3RXo|AiovLE@-7x8&(SoA2)-3Uyb8aG9FWy zkgs#u&o^_bYCYtdY2w<-##=9c}smG>wnB_%Os zcI49UUEQ{fy4acO)b#!iBj-|?B_mO(V;ey5#)UKmSwOB88!F>K+wh%(rTk{-#hv*z z|L!fq!vbC}eY@^FZlI$oSXbczsLh*(FRIOnhYNbJ?{02c1$XX|0 zSY30eHyHrLR>o8-(>y1`oJI*X6)c=v$#&N;RSY*PNDNW(JsV_j*WvgWVJd)8cOE31Cj z)l2^1$59)+uLRdoylQuj4+KD)9L)0RdA?l#EUi zLxPbRHHk2jO4-TSLykyMk#=p?s1Y~lb?N&JdEJY36fQ(X&D)Kf@06UcnLN=mBMnTQ zNP>eA!KLQe=(zaMG%f0t!rD^Nel$JIs@XJ@*<1t*En`-DJ03UOQ~@w~G$v4LC#QyQ zq9(p2?tTmk;LVaDzal^G1TbCEU)YLWMaOG%=j}LUGDAX77O#7rPowb z%L(kppV=$B42s?+>QVGCQ9#j5DX%xbEX(F6mv;wyo@dnPZz^6t)OHzEnZsma*xdf0 z%eNy|acdV|iiv@NED$|^nIQTL0|O&1G-N!vlZ+v#dK!O9Mc*))Ixq~Nri#nGR%er3 zw%-zYzSb%%7O{sT+Mm#C=D(bw6Q;LOGDtekU|%=CuDBZ~dtWmBt-MfMZ%h8-31xV; z=RMhAOs2D7+tZ)D8RB#srcC_et7d5dc(#{3L@+_WW9h-^1f_b5o1Z};&kFTK7{D&;kkJizZWyTFzWex*S)B!81uet6Hw}1WC#Sizx$jr>9xq8OZU+c;!wc&?3JDGr!LDpt-IJ5VMg8wys~CvJFbPGG%Dmsc0>&`||{~C#dZh z+s;P05+(VD4f#C`fNY1M*raOyDhgm zW;E|}pxHLgT}k5=qBN01qvg3_7U@7Gp~sweF3@#IVXl%_js_i7euW61&V57N1EL^% z`%l(toPsN{=Zm6u2e;#d_0v)&vMtA)#r{H@3A3 zk;IYM?eHt%e2<~3XjlX`P^SAIAn^)7?NNIMVZO|p{K zuR&OrYS*Epc&=cgIEH2R5x=AS5Kz zf=+Sfxr*T-B-Cb1M#fHcC>FfP;Pm4Ju8L13k)dy`#(=|BPS^yIna){7Gue%<$?qfM z0mlyAXXFIJTNMZ%Q>Oh_$vBZq?FI^*O+S_P9cg0OYwlFs6@z`erLfcl;V9*yVJ-K_ zUN}Dn)8dH}x2OM;t)z@vmVC&>RXR=}4Y)l7TtSPk_1%twN2Gx{%w6>9@iyB;t}>*H z$!lswHdpLQW$p4snVf>^(wdUBeN7FggY z9|8=I%~{H9L)3qAhmn-jzv2usjp7xqvfu0x8&&2Up~K2nY@wPg7XRR(QY8jc!PbY( zH>jVabe8H&4fjl7q z1?Uda;Houc&)xu~^OG`<#oOR8DIG;V%x1eyWP~x!76{T@HwB3OT9Xry0%Y>~p`=N*RUk?mMT{cL5ZYf<$OnWr6#F*!Ujt{t?w_ebpa z+m57-xe!CqT^JXcn-l*p7IA9Xa8j zLVh9LDCl=0`BZ!OY9kYN9l?eKNB zH^NH~A4g_y97_>{Yo;Wcm&FP*~92sd!0_oV3wU&jdr5{T&LA-wu@m(n%QpElX)mJ`~NoO2kY9Hux|FG{tkwuTJ;Ox`02S^okEhgRRGA=b=Ow%1!R&HEFQoX zadh#ZMYEd>IKQcS_na6pK91L*l>A=INk`ty>s!A^Zt&bTESr;{UcUEVeaSttRdJSx)vlhe5J_PBR{J=bs1rV$ zdXm;l40nxVKZ)m#*pC_^i|Zp%Ai}Mk(D-Fo>uwK=rG>i z|95nF>JiT*@fO8X;lpe;2|dhEr@Y{QJqKVU6YE8T3H?w*Yh``tyg7DIK~3cg8>cz& zk&UdKq*@f7zLDtw+VDLT6tv4!;`)kv9}m(Rjbtcw?ceh}oO`nvW4p`)&M_|TlBq0{ z^gN$p^pX%>gav(8hAOh1mDc1do5t1G^p!4VcHkA-HBUcf^a5YE@8TQZ?ak8xJWJAh zaSZMfz6t~Zqhry5>tAZ*7{aQgH!-xrtjAGdS9+(E6@@mi%`cr`s`hv_XC>c`%veu{ zoS9uxQaiC=>yEztQ|E^y)5csh!Fb3b3P;@tV^jRY2PMLTmO3;j@vsiCKqihACs2ZS zu}>*UZ-`)5K0Sb$`}Q$Vm<7lLuJOMo^SlC%zP#)5&TyruPe}~J5eB5p^u!JJh+ICV z8Yz;2tYROEta5OVgDD}7vos*Uff_o8i-9a-ilAFOGNn-hh3(ZT5Z+ic!?9mC?=z>U z=@EzJ`=~B(r*;?FO_#S8GSk%@zkcWR&^FRzxUljXmecMhjb{#ilnE60F`q?-0*Of^6pgBa7~flcEtH zRSdQIJIQbF0s?}eFU4#bDG-y#A?K&3sqS3G@GG+?;#Yb^kx*)F(CV{2H zY}PMIzuZgj|391M5#z-Fcis##`1eHr+vh<1_#f>1#&%}=Gw?iF7=p(C!xFjssh}h@ zGVf8b>FW_^R`(t=4k@AWHcIx=KxqrT;nBHF!1{Qj)}>vs^0W#E-1+;9#nxnLi^*x} zd?-}j6tx|(IWv(ocB4B1GovE5=N^DW-w{akuNI~MwqgK=^!Cq3-Tvc4a{rioiT(P` zWU;61srAX05yt~i3FIHOzz`$~jSSIP&!b@In;E%3z%$yHa3);Oqd7Aqr_m#`wR!Ei z>ptKE`fy^E04$Q7N?x+?u5e_`r`{P zaKLi#;&mMBE*gA5E&c8V$P>6(Q+7Y-o4&oAny(jVXmz`@dm!E#V|+K9#^!?Ip6vG9+Icyu;R6OR50v(vQ>a{iT`roZBx~( zQH52~#n=sGV+-bWhLq1#-#*bdJ$F@sJu|(WHH|lRyOBynd>xs%)NAV)^%=Iz#Li@;6Qo(e#_ zdZ)K;5*a|IPL@Gt+`v{11EW&bB+bXN&&AD z#u?GLPQ2zALCIS~?VF1}TtcOtvpJ9BnHYTzaO_3!rW3{4ytd|&=#3Z6)vmv`$I^h1 zkU`FgbeFca0?VZMJ=SY!*vVD|^p-6#>1=NoCgXXmi4oy!BBj5DZhlaNn746q+mha* z)&7{5^BRXhh}CKqCGo|Fs{@$2`-Q*~pRmDpTZ?$$UKLMl9?@-mJDJMmTqLw+wYDkn zHWfB&sq?;$Vnbfek0A-8dO^?f(4Q?~E9s2HZqquhDb?(XAaQUya*iWx>U_mASMaHE zFE}+qYT{xvvoovV!$EZNo>E8jA9wt?B=k6P6Q0tTBO6|M(|k2>Yqnv!k!11)@=Z8b zV9uQM;(TqR;}^R9VQAyc98BymGUrx}mZ^)RDW|#wnCo3IY7UDFQ&)cuEibDahTj)B zGc{eTZg%o==I9OucKOX1x}llgJdyyz^-5bS>~d5^E+}^E_Sa8zPw+(#Z13`0=iZI& z-S_j^P?D>P;%qJ3B>lk7F<3R{d8M9vK{`~y3(gmAIuf})H?rsEN%J|DQq&&HYwolo zeMRBcY3KIxvPnQNwwZv^*_qN~LQS-^&9CPmNFWq5!kIcf1?0m^>uhQEe(?q3#$&totd%rU_)$XuL}IYpJgK& zyXE~IcXQ@F^m4_x{PDrM&!kw%J1d=kd13CB?N+qZ<5CD77S0~oBI5hdAO>mYK((}% zbKGE+@m8zprqYd*e8CCt=$4Tbvm3tXpH?rBSxs@DqW71V_RdZ8H(eg!B>8VLjdZ&x zS*9IMg2(&Q;7yFpBsbsUG~FtT@HUU;yOZ7Z_wwTQ?r`6Nh)?QApxa?($IW|wi^=^< z|Lo=bC@;6uqd;5*ba0#*$I_~RomcdV$K`VFZ7ctoEoNra%dV!@krPH}~WTN%z z&1yCmhC-z^N*56Fv!p%46AkzuZv3$U0aw{uhLb%aCT-X^`+itmXu)D^OLMRfff|ROYr0=p@9{D;BYEB0MB^HJu+RHlT#QK> zWJ7Tu0WtPQ61Dy&Da4<=artBYFs<1AO68iQ7cO%%W7k7oAPwDQv69~=6x_h)@SGd_ zb#$wCHdCD^8`v2ncYh~wu%a{=xi&Y7J?=O{GSyovp#4QWV{5IT-&5Iy+ zruTY8)W8f0Ig8WR;|H+=zI0_*+9&LB9K+?e-}b@(;H)HQnph zTi#fu=O<0aPd_Y;@RLRn=V&jnIba~vWPK;ErJcE~wk?YwNoA{dBRub8LJ3ryg(|*~|60O$jvL^O3O~8SmA#A+Koo z57TmN<$958Pxszo4}%VCk8i}+E|VP<7{7<7fgBi6g~=H7f~i|H7%1L%qcB-iY}o92 zy`XQ=xl_F(K>)(-2fEk-uTFW5(T!plB_W8CPYOjROf} zvl1^W-6mVEqHsX~0$toESp{)4Ywo@>uQ+%Kh1mO9k^R{0!SJxcILc+Bq&fBCL#?Q_ zF1iiX`$HH_-t1xR-2?O>Z}q)H$>rI5V8@ugeXgwKY1QPX*0-|a#d(u6#8my$f@4=A zrf_w;Ei3msPzER>()9IT#U&7E&F++H>38Tspya1R6o0+P6+=92IZf1?O{tRqv}vxg zj0XhLxDRlX=?8aT-ThGY<)7Wxpgzjgybbu0L+0$>{o3e_&O$HbpG+6;Tj;-9d_Ja7 z0pjWxn)<_ILR7j}X+V5_7tOFKmi`qyDas`rA}dwMSxOJ|P64mCuxQU;_A<|o zaszARHJq4?z4SZ2lwL$?97I*%5>75A|0-g%b`YC7aW8CCA*z$i znW$#_VV|69>{_EDP>-Lj*7Yj!k-|zWb&_;J6M0&16dA6)e@0P4yl<8))pN@2yVMBM zs0Q}NhY|Ra%7)Y1++OY8Dtv$DH!3qIMZGL)`7qt|ua8(-ilB zk=w@{$}nhlJRS0KIh28KA7Qi0g}~z$vnOzzmi6tQvL^+s7yAXlwgVao$Cr`=IE}kL zWzHb78t^*v2+~H%fs*yAUK^GucXL+gQUXgiMv-_m0S<`6%RI#|-Jz_30`0>Od84Or z1359?D0^{>3uO=dKsphZZo?@y6^mpK%!Fs@rvul?X z5~_7PwTs<)_#z;nlaOD+KWS=vM5jmh*@~Ongufm{!iAl`MCCQ#*>YT3+ep#ZWB0%S z2=Q`DOsQOk@9Luy^oa>8n@e%lJXi5vPbxDhlpaorcZL?x&dNaroS3#N?G_&9zHibl zCOef&-gZ#ajtl4`EgiqfSWNdGetH+0ui?NU?O~}{m-S9RYRSj^^EPj%8hS`T z4!y_LtC8nguWS5#FrECGn9;7)W>7Z$yb=b=kOsI%iAk7#&dvqASG(rou$!P$9nZj)Jc=DC|P{cZ3U+zk0@E~FH~7r;KkORM}| zyH8Qf@9{pZH{5kZz(l85q1S+-F>kK-dKJfgK2iLaCT#>WN%W|m3?HgdVyd7}$iaa> zgY8U4|4zLksiQ@v%%^6uySam^QP$|s1;&sppU6rMWoptc$S3$!p=vd;oSXI>Eba}9 zPF>7gw~kVt$EQZ*VzI?Yzbjgn!B~IW8yk3tP9XRhSOZ#gcXmn*zC@)}z9DdL0-57M zXla>sb&fsHv+|W)I-so(@%kPLrI0q#Yt6N@^aSU_yMqi51&TChq8zOD-%?|S;`<4Q zu!;9Cz3m;^D92dZb;=dm9Hz=5O1(Nv`rP`Rh|;E?|No-yt;4F^)^}lCEMX}EA|TQV zQc}_-pwb}SA>A$AA<`w?Al=>FE#2MS-EhVP-FwyEXYb!R-?^@DUjCuTob!z_#{Jy! zj8|WPR#p~ab;(*0R4$ydT&)dGbzJj%(S?XNjQluUioL=% zEvN4}>9mCJmbFDJYKyyLVL-<{5Ltu8$Lk(j$yH4}uwsLK9}r1{PY=JoB$1+v?4v`V ze6_-A*v;mcTo*jOv3P&2_RU!%s)4m~b~O3J;7Q~sNPAgoZoz_TpHf=B5!#<6SBY0< zqES7FCBv-C>>h;+s!-&$6ue2Dc4=;3%*B%ir$vZzC?7l%Lx}DkEb$AJmO#GzADg z8$#VK|5FFnkRyP+P9FXaF@Ej~0}5m3pItmqPataYEK$$r%|^kRWn7Lgk$KgT{dJBe%&kV$*$xO9r^C%@|mc; ze0wE95al4feQ05XHvAO>k06g9T06Q|#e47@6^n?tU0hxe-NsVdbz-_EvK0)ua;uuD*SHCub2$M*OfC5qljD9 z1@;Cuy;%111>xi$jL6m)XuXysyjh5}cagpdy!$>VvRgob?f5?el-fT6ibD-2&r0Jc z>1yTw4ooC0nx^45KTQ+<6;QaFVX8}@k&vt#dDe_gqhc`hnI0wB8Btz{d>0~F#vw-P z3sQ1;B3}7N*gB7AHcB5ZL436uZ+ zcz9idoo+)~&SS`ZbElJjuSaFiCXl1k@5K|a^bm5prOEKm8;5jSiqhZGkor9l)r+Es z$I#$8{924-N&p-@cLRF%k2LIu+Fy|Kp#?O*pmx&I_iOeGR>sUdrO}wR)5UX${P?MM zign^U9H^@IVM6XJ*^}g09dv`;0Sppt4oBWM3#(d;h{3g{)L+owZ3O@|+d{&k$`|0l zh*q=Me$o3(SmpfThi-EVR=6^ z$2hj};hk;V68iSmL!S>ZY3ce8O$aQ#-omPud?Ps`iHU(gNz_;z&_N*$UQSV)`m%_3D3WXWXA}Vxf?8^Vsr8fT z@fqp;ltV=#O5U5LcHA88PH4R)ebH}=u^`T*g-w&BkKs*22SZ0EhB^MR+JkRb{j9e- zdMPHMElnWdKwG@LY0&GS@!b`uRokd*Gm-QLp5J~wlmg-bUd=E<%tzR+CtRW9aJHBn zR2dyw8}}F}uO=R>G)$oK;7zZxufsiL0cd-U$mY#>jPl{wI2vc?zPa9VMUY zSTtjwji$I}f7#gF97F#Q(tcB>IX!nbIfj!nZCvlp6YBW?1}*s$iWo*2>GUw7xwH56 zG>2yUYW>`H3{cLnztWOBBta)YA#(;xH}=FM;;5eFQI@%6g+1f|(foJ0TW>izr*}n} zCnq&iVdN29h-W4`*-#3_I|}!lt3R*R6V+b6OtHA1y&}L_bnqN4$H(^xHZ4P*V_Ua{ z%Y^VZ(IElVJ(d7^JKh|T7SV+9QR2PND6*e|dF`p>M@ni8)k&FoVqkF(WR@GQibeLq zuy@#1ckc$`TgTljdB(e@vvicD$QyDt$SKoxiy*}754o_1 z6&_?aPudKsH;Xw8CAOKk4o}6Wk%l5&&8^d_ff*N{rl;8~il=KZkn%2Z(*vhqSS{y^ z2k&WK*K%rhAucx~5=)*sw@m&AHGG4P){_5>q-5|ol1p5^)U@<1N)WcE;w#@OoK#2N zLWALeOd;?(Px(D*NxaZJ7n=HTOIZE~F!MZ=w4BE0$qPp*1{a$-rRBoS`a-|r)>iMm zhXF;QDC92Q%sl4F+5Q8J{^$$2*HTs1D-AnYR=27f6jazghxQHpNw@O)S-Txjahh5p zsX3FnUhTpN_rE)sUe*oi55ra)`CRlkq(V?SjId>(u^_8drn?tZ7t57bEk((r*xhNZd?yD}2_`7>E z%iSMH2#OYoa?QbeD$Sv&qLiusKq9@s{}hRIQ6Be+VU{1olN;2(O#JF^9}Hj3{i(*9 zYCZc)C-L;cLr@uQJP9azY8tfx4J4U?%+_`$_079uFf1t4U}e;btM&5jUO2=0jZ3%N zXOuQAOgsYAqb)M_?J@={Sgl=v0HK8U+s0HqzX~IAEK49q%nB*wat^e8kB1j){{3r# z4q5l$v%x`L18vJAJi_{*B@Un~SbE_lQh0VJ3NAenC+$XrJ(BK`#Ou7IXh6ARHcpt0 zRUWy;99koKg`5G&#|_ghB0d@WV>WeN0yh>7<-4HI+=JoVA81ST@DBV4{MOaEeZuDp@isRkI)u z2xSaC3&D`p*dFM+lZPsk{`w`_&>OxNo?@rKSPdFB@j6mbX46F&sK!E(slW$5dx7Z;dl3`I9jIKDksxuj8KiH%o zi7-sO^N4_qFJi52Z$8O^rF2`ddw=<{;24rELtg7`NEwJ_?7tJsiYzd5ukV0~PtF_O z;FFMzbXclXbo=`SL(`rxs_XTx_NvxF5rGvnLpo2Nxa!byWk8D9`NU3qJOzU-gv47S zUP&)!iw*=VaGAIzeC})Lqh3qBh8#@6t=_U_7lQU{G-SIs@ES1!jI*e3$EA!rnc9&` zenxlgH({XfJx`XJYzNgmD<9atn#%{}0l+^i0_=A!i`$Q%E#$^#23zVf!6|+PJJ4BX z(ARJF6Z~E{i+Wn}B&V=Y>j%Xdo-ItrSi;qAfAg~fE@Az#dN_5GI$(bb^?R*$6jm=s zve4@mVGMNLFztOUy%&m#U#GR2N}^^%1GTH3?ur=7zntf6lDS%Yi4?~mH;ZP?!>CtA-HtvxX9l!Z}n5KGQ_7fMndE@ zdH={PnT}i=6xp2K3cy*LsT0^0Vl?%^O$QhV_!nok!8omUFm@luu+8_7$4C!wOU5qE zx-NSYk%XEM$Rd!W$OcAvqxSQ+EZpS32RlQ`AW(>0S)Az8Q1S+tw_{2?wL3~Ko9|+Y z;wE7o*s10ZENQhkqDGuy;1yFa zwF|u~8itYptM53n33AL&Jh-l8ySJqrViPQr?dr7b3IeUhX`!*T6b2 ztUw=xyP=NLK#VodVr5hGk@iR`PSQqK7-Y&IHL%Azu+~TMz(z301Cubp?(mlb!@IF> z7>xV=f)9$tC4GhHU@y`738r4h;{f{1I?OkGuwAwS%M(f#J|E%w-%G61CnuY_029XD z%e|qQu-TZxu02yYu9xT_5$6aIV{`+BMwTykox!x$`aW)%<`erc{-p1)$;IK~!Y;lU zicbo;#lBLpUFQ+x$Ol(@maoqzX>6$grJxqoXc~Q7nX@RwSai{f>eTdn;c=kp-O({% z{h2;7+d$}3*j8K#7UeaYeNpzz6uZ7bp#K_r1hc647>e1)9TaDTCjAHuf&Q=ba~W@T z$HI+|w51|Wc=f2X{05sDQf=aA4Mpi?-UhhP>Bf$zrK`Q?oN@3N#6;aD{h0+YKzVw1 z0Fzj9{py7D(XU2)n4f29XL;CgF*8^_M_J3t^T;P`x5o02WwxhRoxGw5;k7?+Dry80 zz<}|yvc_M#$J`A(2}wKeakqTQoGejPlN2ym9Cj}$x*z28p6yta{t%=2Y`8g1Hvhx) ztgu0Bc!`3z__c;=P9(t8?iXrz8_5_4#n@waGhJLt>YL&8th^-_Gu3QLc~>3XAz|>D z3g{^&FBx&nZw*GG#2epc4=hY5y>2-rF6cfm4*Z*7k{6jD3f2 zm6Gqm|9)^+Ud9xKh8r5a&AP@8gC2V+2Sm9WEdv?Z& zMHZybAR?b*XRP29^QyNH_AX}sY;%S46+ytK$#Ifyh)5Hdw9R9L+zcUgB7a0a-9} zQ##ZxL;nhQVL0ony*rOx;qc@qP*$7$1WFZ_qeeon{ByBO+RAx9JNt+r4X@*w&KeRW{QVuTtlO?=KhMPTVVK^KXJc? zp0B!K$rWthgLM=vJb-`Qx9>e&fL~%dJ)&YVL=+`Bn9as#b9T3t?kTp-n$&9SW%P+h zy5k|-QOAeXPxx`a;80ot|FaRb^i}W|=hOiMRrhGq)tA=lCkg zvN*DdE4AN~As{KDCAE1aa;|%iRg@f=FD!0jnd1PzBpKJoL%WKNTjEVsZL$__*Y#mg z_&7EEOY8kkAkmecvG!#|EZ*`q6m!=EKT`rWh>MfNW^dxB28e`V3u?zB_iM}YdkE6@ z1!G)Ox+m8ow-e8h(Z21i_3XjBTEt{WnYY%02-!;X$fnDLr0q=8qebY;>8RBsCBxCA zI<@WF`I}a7=ypOg14|?z+h1zwCzRXu2}3@*Zis{VD$QTfXYjmpmH8> z;kVAe@gY56q|aK36VAg8}R@(4}bmXWL*+S3GB%UPnP&JjKt^$M2;B5 zo03gIhQ`N1c;ayz&)cvg6vLp>fLH0Jm19Kux#jx--PH4<$UV8bMBk@I<=v%Y>N~G0 zoNG(#g?8MQpNzRU1gruFOM{I#yE)n>t}9Yq)yJP*-*e-shLLJc1*6WD-xb8+%367W zXz4ROhj<-*t-Zx$dux*EXmtnPnA-OiprWysA2Ep>^EA6IrRg!7R1xE{8lZnnz~=79 z=u2*%F)b+euNc}s$-QM0lg8guYr@~m^air%fZt`bK0aD8TeQm8`_A=|k72izespiD zOyT&O|E~tRFiW_!Hf#ReW!5m`iLx5g_~0<_2;`979f6du9urfZ2lkl}kNM=x;=m1` z`rvHuCN4G5fu|iDw-#eP;Zr+`&(;pI%uGYOH`}EeP&+-YcSY4Orkk%~gR<=hvn_Uh zEI))7dUAV#hf5BK?~@2w(8}MtP?Sv_=G3%d8l785p!L3>Dtk_A33dve{U| z8H8%!ER!vxX(%s@tefsTdEWaJZ8}F_QB<*K*t3nT!%fjnoBeb_vrSrp%=qE-)}qr67Z9YCZs$Nh~>8l zDQb9%i?9xu2`6XJxC=9R=nvP89%8z6Il|_8Ss-+|hlB~kgcgqDgHTCvcW*vfw|X|_ z_*#t7qjXgt_NWZeY3phKk{03APgZEA#Y9o|gU$Z?Fl8%ukkrd_JoV5(yM4U%KzgQ3 zzG#OL`jh>ZAK)Ix909(s?!^T*E*J?F3EtXs{hfrEVQVlB7cctNyY#l?6b)RCciaSz zX9?AALnctzYili`^^9mh=L~UqRLpe`YWr2<^5qbUJ~Wh54G63%kXI|o0#D{+SGXUk z(XM7iq!8mJBw`?qMKG{G6;}U?7tj)cYJNN2p-!3;$Ko6kNTY8|mf)v9h@E`=mJ2ai z1fu3bxlFq~0qQ`6t$>8P_(B;XB)x)4>6spqx%NQO+Jym~aN+Kg{#0W8+1UFTDPbGl zfxZXj&V&3opo# zba^9w+0yJ= zZ4-bWdqFSFg(XKhbyjFKqSH+@rZJie{Y0c{S;3`WKrM*J5HMCH4{&H1i>5zoG|Kawz+N@=xz#@_SuJsQYEC78GW>Q2MyUm7?F{sFnG zY!lQVU^r+rL7TQSZDn!S3=Q%sf@B0JACNy3tr0orHtz5N5&*oW+d}Ao-Z{lD>0{JA zje~L&TcWC9QU^S|enPC(V(fnrA)z{X>*)dfd4RO2MSic4`np{d%Bbo2&3Y&6u3xZB zz#FpP0(kQd=lJf6^7rUGp1Nv@O~6Y7YUxq4{>O>)ih%4@tf9)<6jYaBT#uf(~1S7V0;VkZQA7g%b(!k%{9_T#pOic z+R!$5jye<2G~SK_TsrM$|mWt`*Ui1Fu{A`p2Uppn4fJc*sbeAgzF<#u^s`n|VNbR#R@y zRZLT))6AISG73p&f22rXC4K&)j{u5Oi;cilG1oSYhK@`&**yPxaH{q7N3 ze)->+ff|XTTMz~Nl2|zwBwl}7f{~YxkH}ytLr6Z{XUm>*PT+`C;CN)Ge*JB~9ku>#kBg=ASa?_dY4#yu!G%iJWR?|18dG z#{YY9_CLs*bfqg2m&p&7f3$YFn^nfuMM>=+^cf{{(9Pch<^8&~m?B`Mx4a%C8?`{v zgn;uuNt(Q-CRA7|ISRxh`_@)3_d7pu2>+?bQzAa^_2l}ybOV#S&n+Qc&{S7B(@D6HK)Cb%Z8n-Iy54>#Sc%bL^h9_uX%zlcbKIv z`yyeof`wizK;Bzmbo_=<=8;s@OI^#-CpkvIUUQ%o=3GsiCi=c)a&++wT;*BaXK>${ zD9iA`-zTANrP7L(gS*QQJU-N0tMB%oWIvlOARgh*=l21R@XyNX>^6`w%jG`q<~!hm zRX_oY?fX5$Uw-#FKi}Y_d1u2ii|w2^D|DDG4%hy=iuNaligBWWO9#PK5bxx85O45X z0ATrqa8(?I{c`%+-V8=}`75Ul(eu6@rgxB~od45Qb@Y`lHV04^Oqz|>oeP`_(3gF; zbthsee?%P9U+4kVl?AA-_J-h>mmqH=;#-fU%J=N=*6CmrU+So$^U}hO&vLK@n9)kA z-WC2D;tAfixdGQk>)(j2zi2_yjY+tX{dnA7U%(u$3iNxfW@7o9jSb$;V88jTM!y53 zkFjI{{RBXan}rKWx7%7N9)tlOmnp;7R}ROHHuJk}QnC43LGBNI^&3NTF7#kOl4jO5 zwG62S*0|;6{~)9IS2OShUc%n_Gts_7r%x0JjtOzzM@W+Z$)pdV3E9G#XJe=)zLqwtR6+^k8BKJ@$mND{QKvY=~?JTO`dU{ zO)DP9hsTJ;0=z^0fXH^XL_}3gs?Dl|mk;fk2P^BxRSMGZ@kDwIUk~FIqnY8v4wpWEJI*3*a(Ug=@ zp?FnjN?{Qs4AUE6(02H(zR+V`=J)XU0uqc46`BsKeZLW@!iIkTjWkq8KS}Ux)Pkjv zT(hI@2WfPuv@MAMbhly={uNx!ojM_i&oa(Ergo2@+9q0&RWTYx{3e__fbFRHuD~U|W81mr60nks`6DTE^P_Z+yZ@BgJ~yoCwaDHt z?{vtQ2M3e^lWxiiKvDm}42R&d-)_!J7|bu!T_XcZ_W!~vj2Th#mrNM9yX1{ZEku{{ z1mv8!I)fI`^)46Sgvlom`5odvM~cFN+|i<=!p0M8gc!^>kG;&KWSV4x7T+K=kpYK; zYTE$_$!zwj<8$qlwK|NCCRKhAxsW9&e6}S)?LtNZDa}8C73uK*55bCwQ~WlOw^x{bcfoE$ zkW54#V2My5$*Bx0tj$+zyUnotvU5KeDrrR7trj0%HH(3^Ez{tw^ty4pD79bf~>r`Fj?-Ui)FP>UWw=qNaW^CM_qw}e`YAR@pMo`2q{ zoE`j0duGq{|JWq7I^k@!viC{j<>dT3uWZG}PC_#X;~J(v%Hcu1ds`xbN(-={}opK6#QX+o?4WAKo2 z(ML7sq7s^5eqq6)$7tYNetX|w*ya$RrFvW*AW_-49h%thj`qlKj`XJTy%M_nb(SH_ zQA6!_tuYrZY(0N}v;|Z=9dZ&m7&LRgg1)q4$mMZBX`@B`54^*(Wdf12aQCYEAhX`8 zQuUgv=5W)x|I5yy1ZB}L`QGBip8n7m5{^fuz;fll^!d?i1!<7NdT(;)3(dMM{F!I* zKteD#1y=*13(YgB{v}717w*}D`&6v>;zYWyqCV$r#NhQhhm(t|@nQ56DulqYVIt?? zbiF#j0kHZ#pyG5{f)ZtteEsq?ytN?Zq!bs=9Mko#h=yWt52cNO2$yt;2%wq)v9w`= zeXRf1E41hSzM)KBnjMCs0!iAKY;eerf9B(UfqRjLlkZf($j6NWJ{$8gy$g9DR8O~~ z_QPK@FS(6O|5@gRIWzA}DfV*CtK?0dRQFSmmj_*IR>M>r9Vz*Y;%Xv6HJ3onnHusA z|5Z2?5fVfv@$!I#3w^O-d7?U!5t|D1!C+aY?>NXq+;Lm$YEQ5$N;4RQrJEzW zt*i_&wvWo}046owd*{H5FXak34!973S^-|Ixng-}2~e`9^$;Ddmo@IrJ_Q+;+}}Hb z|Lhoh`g3L1%{_0{h1xKm4j%hKYYW} zV^pZw((v%i6lwo~0GnFGMX?n8(rbdZwY=kwkRCG0Eel}(<@F7Oxc@F2^QGhkr>bsq z1lew3Hc-(_sdsd0QNf8BmO&yji(^wIXsG|R4zEaOjuSqc&dvA`yZeW>S4VHH+;6;} zlb9t9c4hLFwme`!${B;&4(j)J&`bvPdQ-^!`OZ}|gjz{oz65d5qFO=7u~;uOW^b)j z9R+>$NL$-MnY4I<&VF&58il+`IB@WavikR78W2R+IGTVYO%>x?NYY{wfwn^r0z7~i zHmq@)DCe%|k${6b3m}23mCQ`~7Zq5PE z6#6i-RH}yzIdV_1&h~QGBF6yT6eib<`v5b@3GWc)n7Vv;6Ei7*Bq5 z8!={)jLO5t)%!inmWzzuFoq|NkES`CfPS`_vN}AxZdvLVb2}7(mm3rxJm&#rC=L6u z_A$rGrQ6Rez)#b$T0sH=(*YG_A{#|6_7`E%-KO~)X%h$|`cTG15OD5<1={Fcf~RI- z1@x^@^ey%bzptu#Ufttiz)(+4@UP8B@B0SC`hS!#FU%Wmv3XD%VnJRH)Bog_#);3+ zVhmE|WKtbgM{uGoYtwpD7EfJjQ^w9km2Hv5 zwUZ<7{i5VP69i)8oLcw~Cw`UVNi)~~W7pic6{|f4;lJo|_!D~fSpQoJx&ia|lII>n zjj6=WbtE{@*4lA8uTW;DraS;|PAv0%o5Jj#>Er`%10m^GP0Og8Nxh*DAQcBd7}Dm@ zTK)tV0&rq+Y)q~B0PGnS9%{I8+*D5Hd3b;1nbIxOgf4^q_mHo9pwbP*_wfHQ&JVu} zz>oI8mZ8Sal1a%ApkGp(*m_hNK3E2E;2|}g9*gnc9jOlV~qMf_c|x5240a( zQ8_6&mR0-)F^E~p(QeDokT6(@BSHpVYY2qnHZg%-3!S|eBkT?=cHw#lPcb>*Cj1`i z+Y#=76w&9@g#}L6WeXdfUF4x+L9{IPYLF)$9;d2Rgb1s?MOL-CI`ttm{RDrLeqE#S z6=c8Y7Ny4+QiQAv{=Znx>Os;m+QclXJ21y_5)6&HQIXaLl+2btrPVMQwdRR3#honk zE$EHm6gmVOws6SZaZ1Pz8~qjPWE3&}s;tTncloZ*Z+!o%;7S2X09N@YBKZo@;oeaNHf$@Vk$XW@wm#%Y^0BJRioyE z*=}GGt=3&PR{f(x@Y-eVUsV!7DZtZSkS4kL_KD=+M+=9@@n2gwy0?H2y5m3qI?U{A#@*LTz#`>o=kA&=mR6$6a2LTr(22~79(9ja)K+(2VwhSJ! zZ)8G&Pn(%8cKtz7t*-Xs?QIX?4MFTJtmV#jKp06*eYbK(x)K;jJjHc!1|16#yHvu$ z99$Tn4}P6>C1hi|rT4bkZ0#mhF=fILtd#QEkc9~9z@2Gj^FTU(Pt+Q;i{xtv+C;$= zo4gv2!%_QXnaMw@ut$mHb@B;wF$vPOF_%1!45^lU$0OB*dHd%`NIlG&ykoRawR9ip z_Ujca1E#GevDLmG4{3Ga3J`rKxYCzmvId<`76sw^m@DWYa1d_FXZYa?#B_zSL_t|# zETEJAL;rKRILWb_g z%QHhLaJ?t3L;W=dw07K~D`(825Dx%SL3;6zoT9?6x4xLpZF%7%8QIOQ(uL^%sAdvS zu|ew{=r%cv4#GRRkjDjNLTY;Va)6 z^iRHjRhM&Fo^z>IK0tV|z&wC>eqv7+=AclLJ}i#n2-%V_M3`DoKHF^wn z-g5dKbm1fACgHbyglvm&U4Z`-(hN^^tvhFi=gA%_y|B(6T1l(juAsF4x&lO}9vUbV zz$15(c(FsG*V1(<91IXGUY~q-b@}g;#;s59NQ%KnV8@W!W{_;znHs*X=`nac?SQad)hKb%=zYmAn4 zVIt4f!?DvkHn8czJe~bUn(tQ<4LAHs?8M`T4JKwD9+u~8ucaK(vqGpF<5pKx7y7#0 z^QC(&b>6VlF#WpfeZ`@94NtDoWV*z2n2yZe?KZk*z47In!9zQ22VpO^k^$ztLhA&+Am#x$zly3212cEJdG3_WaJWbpKY)a#cD2IlrTB|O?I8SJ4a=@+n@

~d2BLef z8G61`*HArE995<_y5O27mIqbvKlck5?u#;r%iOT$4Rr?es<(T*DNh{)2D=z{M&lQv zu`i$7$iyk1o6q7R>PcAGZDcQ1j>qd&!!XV?8}x*+4tGi{eBOOOqHh7Oh#wW?>v3(9 z6-wQB)e-VI;4MUtowvl>N|5^dR-Nd{n8b?ynqY^UKAZOn3GblFaBhVJ#^)Hh{z#~Z zxmJV8AnYs*-=w+?vd>;9*6((@Fj$SjJ`o`2te0|mAC5S;J)V{AM=VQd+|2*3C8r~M z!x`kDgCRNSN~EE*K8*u~m{E3VwL0AmQ**N=+)lI$r)rtOZWpYf<BaCmK z?hDYPp~mEL8Gu%>-!ikMqtLebE%oz2tGdFwq4I30F^p*IL^)O?^J%G3dQ!A>^;1RBGz$Q6GzMFcdm*rwip&Fj(nj${bCGlu`WYJ_c zD)agnoZncVBdfVNX|HxQO=EO=D=uny&~Th2poQ-3=hH;tI6R9)TTpIXc>7u%S)=J| zGctLLEbXWR{u3%_$`TC%inZ>94E2!f;7iKuupM`|5()EMev@Q{lhs($4I)4zhaboU ztxiXiGealw)Au=rlPHT;|l(8afk~Ku%>GHm}hXGwcffnCsShBRPH!H>{HT z6613F6%9XIm0qXk_8L=z-V${CeaEYm3B=k*9#qmXP9>YB#RWan3s`T!3gtUZ+mdZm zBF2&)9w~s6IC^u0)hjs#J(xmBSCPJ3hGww$UQ#8%&5woNXe@qdCBMPxbYO=fiYJEQBSRyLQEO&CWEcQ~QBqECFec=E zCE0a6M%RdNa?SNmY7jge)6e~TdGLvvx8?D&m$_rFG{!c5nXubl+N12moi1KdZN4~B zCpWN9x=yaWN@zsW4J$fRJeDzz!JVb0uJ&b|r)=S=9^p<-1nHDoQb}(DlX(=ywwJrVYhJvgv~le`I`U!&Z|nHiTn)$N zIDhL8KqR3I*{NOV)jly>%02SnD=jC)e8 zj6!xj!&w|WGi}$LH)CW1cB*JB^SiPF4v7QHew`IXkSIAfv3!!iquk8s78C{hW?(WcE4(1D&i+CMXY(zZ8W51bL>e+KgH2cw!E={KkFHB`b6rX(+ z=ORRjdjwC3f!CryjTQGO3p>8CCgAEk$p&ggSnudE_iau_Xo#4NeiheN^0?Pa;bU%m z-;=DIAk|bGsbLaJDW-gj$!_`Lv5>)3X%Fn{v@^X$EI0e&PhhXqY$=SI;gmf~DFjl` z6E@JKlA%kZ^3pQ`DdzLGOB=*hKL_ujO~pe-gas*{axF{@BayfqS$8p6 zs?@VURvc`(C_k~P;i!X}%?wALngJF8H;+{_Wf?Eh?(?t^>vthNZHjec9ijePf{qV` zQ_Tyd#7w~!J`ZteyWBE%S0C4DBr^E!sqgA!h>bPX(jx0KeZAhr%QMq5!YihE5h%a& zfuBl}wLXb2CO8Z(hE@1jEIr}Kt{_vnxFCVvMVw1d+_0MFY-}igxJHY{`7E8k)Z)6i z#e_%fSlr$F8fO`c&Nh1(mp5pnfG9o1BFoGlzgzRoLFaxu81yw{^43)8GWnWY3?uv1 zIkgD$SDA!vO z&&I9Rnsd1FiE_Q(9CxWEQ{TGhxJRq@Ff3uaC|wgt_v48&7o7s zqYmHZ+kmtBh=Z=KR?#+j93tvN*Y1NOsBf{XV~Yo(Bhj$EKH{i1ml@ygL3LxHnMRY_ zP8St=^blIsA-&{~s?fK1*Hq$CfM$*+BFk(U zTT%1nkMv>LS09M73Ha*!AJ0;$bA{~JIpV%fho*VbYSUvL!3tG3Hm{1H0P}MrPKDp@ z+N5-kd^dBuw{!f$D9_&tX=q<|)ZSM>v3;RJC!@d@KHO7&)SbVC-*vHXIK)s8=N0l2 z)2D~ww+==F-*WZVNZV{p|Jz`)lWEX}T>#U&;ez4`@42-@2CQeVBpo7OJ(BQ!JEZ)H zAU;g<^RQewJWmb(S}SDuOJdWZU(S2&dmdEwkhv`c#m_}JH61_YmW%Vo$(G11e_eSI zRYbWIRU~=72YpZ$b$fy9Z0OAM(rY7cDROaH^u@&KE7eEz1Nt!412;< zP`VvvA?SskNc0Bf>!2m(y|Fn@{oaQoYk~_qS)4n;=fNYj5B;V1L*j(6le8KZC?k)J zFH}C!s(vTOXdS;*qw7lZu4)9=VH)^D96du!Hty*~dV*2t4OWZDZKX93?yYdxMKC3l=$a4pWJ#+D$eb_=5>N{R<&T}DpYtejS+WYg2z4+LT3RmfH~ zGtDt8b%c518)Y~g?GV-7MqO62m`{?J>znx5(d}l=Qc=Y713h@zzFsC8G?K*6>aOPG z^cMBh&v!uMpSkaZNvMEsaB6Uwi%RrZJiwFa^9v)>whCq4&D~!FvW`z&FAC3)Tn`SE z=zZTiXY+40tiZGGluPyMgr+K9iJF z%{D!1OG=ZUQ0%gcPg%5jxk^0e1sl4TFwA8BlL&$FGqQ-tq!3=JkXLQ0jBM!qITx@` zE;#sQ)897II7jo^$KhZzYw&Cmn)3LBczB$~)`}%^B(J9$TIwJbFM0W-ZB?0B((zX4 z6w&15W&Ea)%fxQ}HTD6!t!0_45ypZyH?0)b2044oEAGPj$OvqBd25mCGD-C|^KWmsv8>%O-L>0=!9~AI zH2^};P?jBRZ*SOY>s>g)VuJOjpzI*AD6LuWF3#SLQ5XKNAW?Ni5zG z9IkQ{R+M7Lq;mXP;;U(J4wqSY>>puN^%=1{;svg6GzyOz03&Vpdcz3Oz0{a*-^40b zG(T2KWn)yHXwc3pop;X6P9ELe%CgBKDY#nqSozM4;roIZu1|#M4)sRo)@YCvbME6@ z*%)7hTQ2YVh50T4+aaWytd6gRqu@J_EtBNNO?51-5+RVyY*6GOkn7x3py21 zEY_&&XZd2?)s@N=UL7-CEprP?@P|OfD;zmJW@v1FU^z-R_5E#*h=PDrn>u<^p(8As z`ME9&CA6ggxf~A10Ya(Wgo%tylWfo;W67ls(`kCA^=V(5r}d5E5CSObBm~{->6QoN zSRFO);5o=xlH_>;jCZ;WN#SU|CVBWG%Ko0=(D!k>w)9?PFECco;E~iQ(EWsAQlgA9 zz-DmV$I@DsXxtv7kOQgHNU6jRya3Ou&^tP>uBEnm6H7i*GRV#ShPkLSeUjveBw6At zy>Psn%1=IXWJhDyk9B=8s%1tNofjo*$vNAk1cmra=owzZfU^oo4x=}XBq7~cC#8nV zFh`{apT5z#xD|7DRPmF5_`NAl{zsIm$tFYUxp$W!ah=O96V|M!vN5DDio$p2&Tr;#?sg}ct^6l= z{A>V6)BMp&TlV-zd${on@IdtFr{eMG3c-+)Md7E~>1X^!j=Jh9ZaVY)e0KT%GAK%S=!yNo2hoQ?{#lC(@#$ z_nm-cxX6Moe-XN!eVjh}2fT#d!b`?TblfXLO1IR38Dr%%i8Gyy*CKR`k}l05Ulb!B zQ0PnH4Ex!I^!vwc`f_J5>r$UKqb?z@SjNddY9-=mq`Bw0Meb9?hQ=zFu4t-aal)XZ z)WM*WdAIfZ!| zqlSEGK5WKBKI@2Ci2iFf^a7aX^6Ot{WOIO&_~tr62eB0QDGz?jWP<3_oUkQ-V71EqgQDXry(!8oCHFUow_ofuFySymXb!EC z+)>-aUw-67*9BItLN1Q;+CjAWp{SO)jcd0kV=nZ5aSR{cuwabb(zHN%RgXb zpCsdB+ZC2 zAN2SG*XbNHIZ45yx&~O~TejU5@A2rIFg<65q;MOP-c$()38{5lqrB?^uPg6gduvBc z8@;J7^YI17gus-3Zm`JdUlEFltV$%P=m|-R*!|nF(r$k9R@IT0gcMcVitsCP`p!Ti z2iW&;N`2b{^5C$U18H5eHc6y;i?FAn@;PlDP(zz*rLt%rTjg!%Lsz%3C=SW-CuR+6eNg-+N| z`suU@#O#5Z?Qe$-gmXaZ8$Tf=?ThM8%U)+W(v>1`pR}punRE3K|9;e1SrWY(i<3KY zvf4X_l7=pTGc^9Q|Y6MC?XpyWLActd|4nRxj7psYyLuDhd zrb}Fg&}jf4*^=kwE#=-Zkhr;A&Mw?Yp`zC*;@8ocRuR^DjW-czM2{>NHmjDhD_9awq;s)dx6B|r=5Cn+9G0pqeU$7l2jj z(81kqr($d^^DbykeMPKhaqyn#gf)7Zyl&tAvq;ObrHRnS2E6Xbm#z6r!kzWPAG;gD z34gyh$*?FpG5@B^{3wSiZg~BSl;a$3z=c2hOD4Yo5}nM4W_}3S)T@7)`qkeuQxgz! zA=g+DFr=%rfmG6NY)8E-HfW)kkWVQU8(wT9BzOrahym29eDSyq*(+$eE4k}sE7qP! z!}zxrg5wx(^Wbr}U#+fxJi4`8+W;1E(yc(4L=*-{eVlhyFq^ z^MHdB)HGzxs9K*=I+6%&&yw|fZM4y-JpV!a@Th5PSVTSNxUwX2A~%cWBtZvQSd%tW5k{bMKAxANXWRE^ z{&FB5a{m1IeFF}5jl$}tbwLVfxDW1BzGgDrkSn0PGh~ zWkZ+uphX}j*>s(y&mXH=F<-&Mx&i8v@(^0mMI1uXRy&-E=GsPBZBUVP=mFqqc-&H| z)B!ojDr5`S&#XG%*TI~s!CDqf=|iu73wKjNOR4E#{rviUYc*x`*uZ)tByyk|J% zJL}5FWwt#il@8xUCn_ki+sG(pV*YmGyP2w5K#Qz6ItcmtV<{skSFY&Cp6hfgsSh(3 zI6ved{uT6G1>VS!NIEb>YIXlw?eWGf_vSvZ1kJjdm|R%zt{#peM`{_R#5 zb)_Z$UlXqkqMZ`~!>Ju4-1Mq*BvV(&8AG*7#@LF*D61yNJ*wHc6!%J(;p0qNhuax< zqjN=zJYtejuvUI~vwIcz>XC10Buz*I?)NOLWB(jj+eGYrC057HR6}) zsRn9=>+QBmuuZjx-CDkOT%6O>Z7&~Am2#HovYhVnVQ;%-_7FkVxKeg6nE`izIf#o% zgZ2W4DO7C8ZJuYVDr4j4KZw^bSs=SonHKR;a3xm>JC(uhXr%}gf}IDP8M4yU4{PgF znisONp<@Wa&;-mIA#T0T0ELopctkT;9)K{_Oa#3xZYd)J9HR8FNk|u50rMY90 zXm&SpCjvJk@fmhs{t9%AbCE9qo1KIu#0zA&W_mBKW%KR=<32$ST&hi*{{<;kFNe&=D)ILodn;k7B)4Of@IF(|%zz7rh2douY1c?8R zhrJN^D-UbxkYjBBDh^?h);F zFM`Vyb>h4*%e!~UW<6aM7TI!i7CW8VV1R_x)|X!ZRx>sw`BY{9wx3UdN-ooNhNCBY z$$aB8q3`<#sa|BXo4bcD?V!-%sl}Oe&|tsZO|KD{GWcKBeR(|8Yu~?4oqBST)RD55 zk`N;6*eYbnl3@&E%dW=0N2rvokYsI!klm1F>|03Lvkcj{D7(hK&hPpTIj3{q=f3aP z^Lw7x>-U?NzoPGax9j@6x6gISnbflinyUMS>2gVS6O$KMyBWebq`|}b(yK3a7`eh*CD`X2e<*zP;OJQxwSjk*qs4eHwh3dNI z#QSxr`{VN$_-zY1;>Y*|=4=}ZxP8H(7gPu`Zio%{jxEOc0;U| zNuHH$^V8_Q;9 zg_2~IQK~bYXZ z=(_=E;N-4iW6qEd$T$>1e9~HslO8QOu?1w9(j?r)!#foYEH90@d|ECQkOA*SCD%<^ z?{(?BZ$(;d0Pn?U?O>70FuSU5Hq=HEyO>PgT@BpY*Ag@9ve4b%76z!qJZpaj5xtx( z7B@F%n@TrMP)S?!uPyFmX?1@cylaYiSkom80Yt!lbYB?V%~%Z7-)R>f0x?Nj1+!Kj z-FUp)cK!2h;5`Krop-K*C(4GFXJVGqDpvi#pm`X%od>)yOb!gBp{gWi zFwVZY#6107)0|p(!tqQueh12hKuC%I$xZSXR1$9c-Xx1tCntrbfELEDLCW(36G$h$ zqlN0(ZV0Eu_8aa<#3Yo31@A!s>+as+L-E(+)^!=k|N3B#E_dg+6hHLuop*j{ z9ms`M)7x5E#os`SJ_cy>;KloKgzJT0C~tYc2ddTcv_KvJgn(d1CzU0US_t#w}UKobIV!wj~W?ZyYn&&_`fPNmyqR-ON7WZA^N%Mwa6GnjV z9!KfS>9+SQZQkai4NZ)iuS!}fJ*kS?*v8MQvN-dQ zi=*uB2_e-U^d$JSHPGbcn&zMxs*rhdE((04x@cU zH5m_$wdIp~kGwR{Q8Ec$t1ON3Kg_yK(LMEkwwg}!-l*#_0*qit7) zztiCc8bC#h_@>FFX!G4PNfoI|FXo?+CS^@6&BOjoN6wYo>RN>$zc8Xt+e|U z1~NxIC+}>W8Q;|4LkXU)i4F?WnPc?&+L|_z6xcL=sBQByCyq1Ab1NAGupoTQz$kHD z05iTZ1&ob@gD%?~?}40UFXEJ#6CC#9%CQaDn;_wLHI$d-79M`-H7*alYwneUeM6{C zvT@6imWdtWR09j*zvMlUym}sbj~W!FPP#O(m$Q|J?}v$*){Us>rr~5l!opwawg@YD z-~HGhcSRCbb#Y@8R{H21M{FMe7K7Utji~(9|2d8v`(iy%j}G+>#TVbOmfGY$vz>@f z^FI&S;!<19OT02vCviQQC$?C(el6*k^5Wq9c#%hE*m^M5Yg&46+tA!`qhPB1)(+C=dmNxt4uVCpw@zFY=QaxS51X`2II$&xQHuxMIJi^wJcOV4KO;_x!j-zx z07*+~D|=$uIM~0rxS9+0!oG@4YBsB8u}#U=P(5A0 ziy6igSyCd#hd5T^cZ0x-zsHrO%#5%tL+PonT_pzRQ?D!|kB=w#j^U&4+yT6i2K{@Np3gsjnJ7dxS;srj)n$z zKo5a>N7B~sg2n<@O+Dgk_-8(#FSL5C&JaPs;)%xCTOYF)++1$DF(W(E39Owjayjq2 zcIxRDWooAr2O%dSJ>wP{nWcR2ijj)A=v%ajYu;Ugp)ot!DX?^tS{g&o7+zg;mYr}J zo6L#1cOFRPUy8lXWUDJwixpjw>Q-r;Ec~JQWVrEh9d1|T(!p{c^^lqp2JiHcSS`1m zV9BRD1i#Tw;Wj#NO46r$a>tU|vyB+ZsQHd#%6a=9zE*7~S0`15AEHj@FWH*ejg4IH zqO^Om&WwA$h5Vb<-s&7b*F56v+o*&*APyK{`z5@uZHz7EdvMI@Kb`<{;LC$vm)wQW z^{MVX`U_br%fW}#_y!owAHD+m3E4PJL)XVtMuCxN?IfeJG`=i|>jFwqT*4np(Y#^* zIdDsdP-0`;-|-BeQfEp(HsuJ)q&3%PPey8aizU}wn^HChV&1gLql9PvKD917TX2=$ zVFHuF>0M6)D&MU3S{?2Bu#}vX9PH&B;VlkvY-bbdp5wO}6I>?MR3n4(?_Nb+LfHJ6 z?`3t0-ba{h5T=S#QqqZwkjhQPyiy806IcFK0YnPpKpkeL&Ur+=Bh2trwj>{^O=*5D z{AB9Zku2Q+NW8M8Z<-Bn$$?D}k^xXb-nOmRFF98*5VfhRB#QV&pT}`oygOIO6!Jf+ z)P#z{*(8bVb9cw|l@rGz0C?Q3Z}GwVl)Hy(6Sxbly7afE@eYF@ zJvo!2!+U@kVyBYmHfynOh;q~@$ibLel)4L9=E$V~Iz8{(cNck}xkp{iyt_8r4q$+W zQn;C47&to$iZ9F6y!%-{;832ds2a zf#jI?DXS4?&1TPCfq^fw2iy0=D9B!cK4cjCU`l!^`nTK-TET;-M!e@UKn*|KzAjoYAew*w%{_xZVGlhu26Gl; zo_lGD4rGXz9J1rx_vHP(cmJr6%C}$_<|CL0fmU{jr$;ttuRt!eX4&{=$H1e!ph#$- z#UUAGfZ_SD+x7(cQtn$`a;X97f-}u(kY#@2emV3HzdKIgR zpA2-1o)GL()+|)9q4zRpb4%_>E(OpUr_yQo{)dKyd0#?Cn6L3OD^_q} zYed@S&5V~i)LQLIdCL+y0&hq+J{uSwRhm>v5d_ z)T&otvhC8hHKi4pad=xutd!p#-e5zVIz~gorTmV5OM64+gjl+NkK`*SEtgZQ-3etg zs@scLqnW~`fv$budCL~Gt958X3WWLnudi^2;ChZq-iP~^3{Ni9abMxwo(X2{T#Wmx zM=$B7m7l+@>8~kZGlowMi~T^kf2}f>H?ovW1n8ub_d~IW90!iU+h~FOh~jSMogys% zy};M`EP_C;wU8kX+|iNHeJ&X?<1Y+L5MJ*Po`P_tM9Q2~L8?A4KuD}+`#GGUfe9RK zUg-=wd@xzgSEZY#q^^&~n2nXS{A*Unw_B;}sl9qA8LJ}JbHK*!*Q2WcAk=djsf9R@ z34A-4e9Pn5GO@l4VCKl4qvr}*3XfHxP3XSe)EMN0Ls{{&!^P5bOFF%NJ6D`TB767G zlsn&Uzq^blUks0udg&CZ3+kTc_ltGV1fSZWvYCd zhNiWj#=mx1)0p6nM5YT2lsY;0LyE^OSv=) zhwk)RbS722g`r82YqeafLzvUV){hEy0%nbD-p>Cu8V(NLbC{Ad(cQWezi^ijUtK%v zaXN4{Vw)H`Mb;vaL4UP9d^~~e;c=m=0biM5Ky6)-!{WKBF_<`;e~#ZYBsz!Vh*=hG ztmRt!y^!g^ddFb!%!pQ5*vDM%snI5v&yClF?k~CZRxVwWNoa9FPyLMm%#?j1(C1qf7S?ymxQH+LeF zmJFG(^;hF>b5mP}>V)(_zOntgIF>CHcel3zUgc!0b92^O;>g3RmvQ6hF1wSDm0e~;6W2i2|g9g)qc z^nU9hdrs+5DK;$YDT6%Ndt(G$3C&&)Q@&Xx} zQsIKPVxYT3VSaXHjn(0i1_uvw{m zEaqf3kEwuMc~JFgMC-?vAZT3t&=xA`7eGeti8A_iG05(6UK)8UPd*_nCUzrxkJXoL z!7Pz4s8GYOAB;1WNEzXp2eb2)>gP~c_MyE29%7bL1;~;TEy)9{a>A;@CbZZtolN!m z+$y8Z;z1ZA{_K{)$F@Aobo;O}rCY8A5Ndpoq$ur)Opz2!? zOpbABUjVguyEy^TuFUXCIanHvU()_!R;0RyJAh%a4nNPbntV65KAnXRNtS7Bbu*7s zBL2a6|GNqkSA0wQp9aA?gLLLRn2wR!#9{?YX=(1cp9{U{IC#7}ZDy#N-KmfvQ*w_& zDzgA%K;n5Qe1*I9RqZO6=mF(0MNip;S;#JE>c0{%)BWuW>-&ySZ^=aPP}tYEZhEPe zkYA+cussg<|GFcA?=ew%1G%|4(#ac(!)Ugj&G)~pI5({Rs5laoye1f-^Zl_~|gae`&whqt!#fJfLQaU z@6e=kM6$9p1Yes*V-C#!NRg+ka-r*i@-Q1DFOXkApFYr=vc2{{5f!`qQ&dbWOOqRB z`6IjbjF*cBOv!a1;Xd8)>FL3TWSy-tF&>Xvm00XU=@;-=v|W5@oAyw@`lu?&bmMP} zkKS(aNxh_SPO}P8K7$Xnb=uKr*!H4h5-9xS+r#}#cDRWxkh;x_-dQ`LP(0&x9Rz0# z>?a?9OyH3|ZoI|4BTZs`M5_ivLmKnpkkQY?t*7!T7kMo^tp&xV_28#kdY*KvFqZLKe<9{~26i!nP&lD3&e7E&fh8Z7Wp78 zbNJFtWJxh2#SrB%WPIaBLDwnt8Ki~Gap=Rd7eBtdGXwltpog4^Olks}r*SrTDeWm( zO}(-XaxeDp{qJ4x0S~4TC{*#G0od%x`~se1gTL?u7;dRjodiLPB?pjOvRsp2CEkW)ffOE1F9#@REXD|3x#h}gGou`Q!+pE@9U3~>D3-v*D2<*06(tXY7*p_f>S>B^xqO&NH(QiNH8N4b#MhK4NBG2a{ zJjlaOiboE6&xw&<8gXhKr%44{=jO+WrN6!t3k;n@H;B}Oys1?W{QR`;P0yul ztgYO!+?`QfTz#yLdB)0%m^}A8`U8&Ke=~t?p&tnFD=iCA_`TT1-;&@wKO73{qe8~2 zLbHyI*YDX$)PQd=e^f}_2>+&Rd>_QF7Fw%w@Jj`XdGTaD%&op3goz^a$tOss@c$>b zLDf*^*{$PT%x%$v! zv=6wUb}W&8@&l`1|4SJ=@$1ZzDa=l`n``u6y5M15Nf#3J$$U1VA8?uDls3H)%+rA? zGtWAC?9)ol9S@wr)uycorFnTSbap$npL!*LO3V|OdkgaJ**Paw_y;=v^E{XIsh{#( zSY-N1CZXm3QO|pVdC&9S$yMs^MYujgq5N@{qH1%BcnqETRT#?jsFX`*<}WvP5GqJ4|8GsFD~K@aV~@sJxi25e0Gs zL26BN-s9wUZs+Z!=C6{9KntrIu=rvdTg#HwkK>D&PFZ`rZ|H!1EU*2oq@7sTNI_XM z*G{{Pp6Fh?OzayUwV$&u-&!N{Bh|eyI?q-47Tp*QYON0cn_#hTy!!!y7e#oMZ=ax*EgG0=U z8Y?TvU-NfNi;>4M(yc-5g-8OBLE&NbG#zf<4@2oRp6njpaq z{E$F5%-mqGuA()SheD`PNKbSQv`&;J09HK1H+TcTP#S!9EeI350whF{ z_wK(unCuH(&$t@gkdq!K#`X5EWfa8t+wWL?Js8Lc*A1b;VgF5)Mu~&ku1yGp&Nz(M*fc(<$|@W?|dQBk9am<4V6BiW&6K@G^Aj+~zA7 zn0Wb~b|>L&)a%pPSoQ0?71U5&;nxX8xlah=J=Q=6>-*SkEwEJCL#-&(XIstNW6_&H zw&ZdC)P62UySGIRcAvu(tv*I{6^9zPG^Gf0T~L+hGPJ9=G+={(dhOIQdy11t8)a$8})es=h$_m8BnS)M92yeJ23!^!`bD`lZu0 zm{NIAbCl_Ea8$I*=N&_Fu{sIOy`H z-1j{^ObxmUcuw_!Y+h;JzK4hBUNx(W)Waj7c#Jd@ut~*kI0@tb00QGxX0>xIrPr1>l03YmkhV1Dc8K;xrwM7Na?HZn{6XB ztah_?hQ50ic|!H*w9E*|(k=WLL`y`eBX*oFT1UV7U^GVa=Bww92b)+8)CUfV)(2E@ zW4?N5I7kf&$|?dQRdXx?WTA}6`omMY!W#YubD^{Xr&XMJ#%`)G9m8X@^e)ag?&ZZ* zxM%*vXA|naQe;cYxhbMY}=}O(L@VtlIt;vaqLvB{fBv_t48hwK~vQs@wtS% zB2zzIQtvW3^?#s{2Pf@rY8Gg7Px)X7}r*Pf>`H zAEu(e`n9H$+3=!ie=TO5xDePngX;q&<+BR`6@BPeDmpEz115>re1e2q@@)6q#byL( z2do=v*NC%sWp}V%QEMs4lb=bx2Etc^*FpZAibqE74x3TduNPQZfuEt!t;&>nNVjCs z<_c;frs=?VGFT4_5-lEOps?0vA<9JP;QsojQ`YLRdsdA9b}9? z$wKQ3iZC=Qa>;Ssa-*4^TMu%Mu>6zLNFQ$f>jSPyqCw-sxp!*0_W}G?X<3iC++`pV zI*o!%VUs&PP{vEd7-GkucLiv0@ic)RqNcQPFc?pmnT6OGfN8t?++HNE`v)7}%kpgs zTlW?2#NeeOKNQ}Df}u2Xa#6c)-5(dLg3Cvf_cQ{_28g3Y}T z6lgfMW$Pj79V|}6E?#5REA!*^7{$}0+oW+X=cCJ{HqsyoRZcH=6sqRZYgMF7j3ZT< zn8vn!oUem@J>9lT>>I@rl4sgk^9|BCwZhtZAF6lw_)kcSd-`jCnvIDREti52NF^|p zAqYonIrblj7~F+gwXrvLk(S)%#b}Sxy(rY!qjMJqM@+=7rJum~5|m|tD&KW~LQ#yK z)z|JhscvPE9ieO+w;0<%S{j^GX`ZZLB*~JxNQsv9G_D2fEFPp83=v6UO7nLUaOW=3 zS;7+!T}3YpHj-8bF)J78R?wR#Svn4*@Y8R%uQ>KIrnGtS2#QdFM-ry#wZbO2LcvP( z@LG7&ASNAXtymFa<2aR~@zr54kObe(0{yE3Q@5sgN#;eyDqAk3lDTNiagx+`9!koh T&TGRE_*alsk;%M%`_caaJ@ie& literal 0 HcmV?d00001 diff --git a/description.org b/description.org new file mode 100644 index 0000000..2608795 --- /dev/null +++ b/description.org @@ -0,0 +1,184 @@ +* About RISCV-FiveStage + The task in this exercise is to implement a 5-stage pipelined processor for + the [[./instructions.org][RISCV32I instruction set]]. + + This exercise framework is used for the two graded exercises in the processor + design course TDT4255, however you are more than welcome to use this project + yourself, or to teach a class. Please reach out if you do! + + If you are doing this as part of the TDT4255 course be sure to join our slack + group. Slack links only last for a month, so the invite link will likely be + expired. + Here it is anyways, feel free to join even if you're not taking the course at NTNU. + https://join.slack.com/t/tdt4255-2020/shared_invite/zt-erb9fbnm-NscwZGNsVSTjYPnSCjo1aA + + In this exercise you will build a 5-stage RISCV32I processor that is able to run + real RISC-V programs as long as they only use the 32I instruction subset. + Since this is your first time building a processor, starting with a 5-stage design + presents a very difficult challenge, which is why this exercise is split into two + parts. In the first part the instructions will be interspersed with NOP instructions, + four NOPs for every real. This means that you do not need to take into account + dependencies and so forth, making things a lot easier for you. + + For the second exercise the only difference is that NOP instructions will not be + inserted. You can read about this in the [[exercise2.org][ex2 guide]], and will not be discussed + further here. + + In the project skeleton files ([[./src/main/scala/][Found here]]) you can see that a lot of code has + already been provided, which can make it difficult to get started. + Hopefully this document can help clear up at least some of the confusion. + The rest of this document gives an overview of the exercise framework and testing. + If you want to jump straight to something practical you can start following the + [[exercise.org][exercise guide]], however at some point you should read through the rest of this document. + +** A tour of FiveStage + In order to orient yourself you first need a map, thus a high level overview of the + processor you're going to design is showed underneath: + Keep in mind that this is just a high level sketch, omitting many details as well + entire features (for instance branch logic) + + *Important* + When you are done, use the provided ./deliver.sh script to pack up the archive. + If you're unable to run bash scripts then please ensure that you deliver a *zip* archive. + Not .rar or anything else, just use zip because my grading script knows how to handle that + in addition to the one used by deliver.sh + named after your username. Nothing more, nothing less, just your username. + This archive should be runnable as is, thus you need to include all the necessary files. + (I may or may not diff the tests to check if you're screwing with them) + + #+CAPTION: A very high level processor schematic. Registers, Instruction and data memory are already implemented. + #+attr_html: :width 1000px + #+attr_latex: :width 1000px + [[./Images/FiveStage.png]] + + Now that you have an idea of what you're building it is time to take inventory of + the files included in the skeleton, and what, if anything should be added. + + + [[./src/main/scala/Tile.scala]] + This is the top level module for the system as a whole. This is where the test + harness accessses your design, providing the necessary IO. + *You should not modify this module for other purposes than debugging.* + + + [[./src/main/scala/CPU.scala]] + This is the top level module for your processor. + In this module the various stages and barriers that make up your processor + should be declared and wired together. + Some of these modules have already been declared in order to wire up the + debugging logic for your test harness. + This file corresponds to the high-level overview in its entirety. + *This module is intended to be further fleshed out by you.* + As you work with this module, try keeping logic to a minimum to help readability. + If you end up with a lot of signal select logic, consider moving that to a separate + module. + + + [[./src/main/scala/IF.scala]] + This is the instruction fetch stage. + In this stage instruction fetching should happen, meaning you will have to + add logic for handling branches, jumps, and for exercise 2, stalls. + The reason this module is already included is that it contains the instruction + memory, described next which is heavily coupled to the testing harness. + *This module is intended to be further fleshed out by you.* + + + [[./src/main/scala/IMem.scala]] + This module contains the instruction memory for your processor. + Upon testing the test harness loads your program into the instruction memory, + freeing you from the hassle. + *You should not modify this module for other purposes than maaaaybe debugging.* + + + [[./src/main/scala/ID.scala]] + The instruction decode stage. + The reason this module is included is that the registers reside here, thus + for the test harness to work it must be wired up to the register unit to + record its state updates. + *This module is intended to be further fleshed out by you.* + + + [[./src/main/scala/Registers.scala]] + Contains the registers for your processor. Note that the zero register is alredy + disabled, you do not need to do this yourself. + The test harness ensures that all register updates are recorded. + *You should not modify this module for other purposes than maaaaybe debugging.* + + + [[./src/main/scala/MEM.scala]] + Like ID and IF, the MEM skeleton module is included so that the test harness + can set up and monitor the data memory + *This module is intended to be further fleshed out by you.* + + + [[./src/main/scala/DMem.scala]] + Like the registers and Imem, the DMem is already implemented. + *You should not modify this module for other purposes than maaaaybe debugging.* + + + [[./src/main/scala/Const.scala]] + Contains helpful constants for decoding, used by the decoder which is provided. + *This module may be fleshed out further by you if you so choose.* + + + [[./src/main/scala/Decoder.scala]] + The decoder shows how to conveniently demux the instruction. + In the provided ID.scala file a decoder module has already been instantiated. + You should flesh it out further. + You may find it useful to alter this module, especially in exercise 2. + *This module should be further fleshed out by you.* + + + [[./src/main/scala/ToplevelSignals.scala]] + Contains helpful constants. + You should add your own constants here when you find the need for them. + You are not required to use it at all, but it is very helpful. + *This module can be further fleshed out by you.* + + + [[./src/main/scala/SetupSignals.scala]] + You should obviously not modify this file. + You may choose to create a similar file for debug signals, modeled on how + the test harness is built. + *You should not modify this module at all.* + + +** Tests + In addition to the skeleton files it's useful to take a look at how the tests work. + You will not need to alter anything here other than the [[./src/test/scala/Manifest.scala][test manifest]], but some + of these settings can be quite useful to alter. + The main attraction is the test options. By altering the verbosity settings you + may change what is output. + The settings are: + + + printIfSuccessful + Enables logging on tests that succeed. + You typically want this turned off, at least for the full test runner. + + + printErrors + Enables logging of errors. You obviously want this one on, at least on the single + test. + + + printParsedProgram + Prints the desugared program. Useful when the test asm contains instructions that + needs to be expanded or altered. + Unsure what "bnez" means? Turn this setting on and see! + + + printVMtrace + Enables printing of the VM trace, showing how the ideal machine executes a test + + + printVMfinal + Enables printing of the final VM state, showing how the registers look after + completion. Useful if you want to see what a program returns. + + + printMergedTrace + Enables printing of a merged trace. With this option enabled you get to see how + the VM and your processor executed the program side by side. + This setting is extremely helpful to track down where your program goes wrong! + This option attempts to synchronize the execution traces as best as it can, however + once your processor design derails this becomes impossible, leading to rather + nonsensical output. + Instructions that were only executed by either VM or Your design is colored red or + blue. + + *IF YOU ARE COLOR BLIND YOU SHOULD ALTER THE DISPLAY COLORS!* + + On some windows terminal emulators there exists a bug that causes colors to not display + correctly, giving your terminal a very.. rastafarian look as shown below: + #+attr_html: :width 300px + #+attr_latex: :width 3000px + [[./Images/rasta.png]] + + + nopPadded + Set this to false when you're ready to enter the big-boy league + + + breakPoints + Not implemented. It's there as a teaser, urging you to implement it so I don't have to. From 4e9600a3ec140441358bbc16c7013bcccaa67f52 Mon Sep 17 00:00:00 2001 From: peteraaser Date: Mon, 1 Jun 2020 14:19:40 +0200 Subject: [PATCH 05/12] Fixed links --- README.org | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/README.org b/README.org index ea9f78f..dd243f7 100644 --- a/README.org +++ b/README.org @@ -4,8 +4,7 @@ This is the coursework for the graded part of the TDT4255 course at NTNU. * Instructions - To get started with designing your 5-stage RISC-V pipeline you should follow the - [[./exercise.org][Exercise instructions]] + To get started designing your 5-stage RISC-V pipeline you read the [[./introduction.org][introduction]] If you want an introduction to chisel and hardware design you should do the [[https://github.com/PeterAaser/tdt4255-chisel-intro][Chisel Intro]] exercise first. From a28775d2031f2d23fedd17ed19c744004b8b69e6 Mon Sep 17 00:00:00 2001 From: peteraaser Date: Mon, 1 Jun 2020 14:21:49 +0200 Subject: [PATCH 06/12] rename --- description.org => introduction.org | 0 1 file changed, 0 insertions(+), 0 deletions(-) rename description.org => introduction.org (100%) diff --git a/description.org b/introduction.org similarity index 100% rename from description.org rename to introduction.org From 0c94a1a8088f20fc2337af2507aecace23d27b13 Mon Sep 17 00:00:00 2001 From: peteraaser Date: Mon, 1 Jun 2020 14:25:03 +0200 Subject: [PATCH 07/12] render test --- introduction.org | 25 +++++++++++++++++++++++++ 1 file changed, 25 insertions(+) diff --git a/introduction.org b/introduction.org index 2608795..58e2abd 100644 --- a/introduction.org +++ b/introduction.org @@ -55,79 +55,104 @@ the files included in the skeleton, and what, if anything should be added. + [[./src/main/scala/Tile.scala]] + This is the top level module for the system as a whole. This is where the test harness accessses your design, providing the necessary IO. + *You should not modify this module for other purposes than debugging.* + [[./src/main/scala/CPU.scala]] + This is the top level module for your processor. In this module the various stages and barriers that make up your processor should be declared and wired together. Some of these modules have already been declared in order to wire up the debugging logic for your test harness. This file corresponds to the high-level overview in its entirety. + *This module is intended to be further fleshed out by you.* + As you work with this module, try keeping logic to a minimum to help readability. If you end up with a lot of signal select logic, consider moving that to a separate module. + [[./src/main/scala/IF.scala]] + This is the instruction fetch stage. In this stage instruction fetching should happen, meaning you will have to add logic for handling branches, jumps, and for exercise 2, stalls. The reason this module is already included is that it contains the instruction memory, described next which is heavily coupled to the testing harness. + *This module is intended to be further fleshed out by you.* + [[./src/main/scala/IMem.scala]] + This module contains the instruction memory for your processor. Upon testing the test harness loads your program into the instruction memory, freeing you from the hassle. + *You should not modify this module for other purposes than maaaaybe debugging.* + [[./src/main/scala/ID.scala]] + The instruction decode stage. The reason this module is included is that the registers reside here, thus for the test harness to work it must be wired up to the register unit to record its state updates. + *This module is intended to be further fleshed out by you.* + [[./src/main/scala/Registers.scala]] + Contains the registers for your processor. Note that the zero register is alredy disabled, you do not need to do this yourself. The test harness ensures that all register updates are recorded. + *You should not modify this module for other purposes than maaaaybe debugging.* + [[./src/main/scala/MEM.scala]] + Like ID and IF, the MEM skeleton module is included so that the test harness can set up and monitor the data memory + *This module is intended to be further fleshed out by you.* + [[./src/main/scala/DMem.scala]] + Like the registers and Imem, the DMem is already implemented. + *You should not modify this module for other purposes than maaaaybe debugging.* + [[./src/main/scala/Const.scala]] + Contains helpful constants for decoding, used by the decoder which is provided. + *This module may be fleshed out further by you if you so choose.* + [[./src/main/scala/Decoder.scala]] + The decoder shows how to conveniently demux the instruction. In the provided ID.scala file a decoder module has already been instantiated. You should flesh it out further. You may find it useful to alter this module, especially in exercise 2. + *This module should be further fleshed out by you.* + [[./src/main/scala/ToplevelSignals.scala]] + Contains helpful constants. You should add your own constants here when you find the need for them. You are not required to use it at all, but it is very helpful. + *This module can be further fleshed out by you.* + [[./src/main/scala/SetupSignals.scala]] + You should obviously not modify this file. You may choose to create a similar file for debug signals, modeled on how the test harness is built. + *You should not modify this module at all.* From 813faf9084b382937a43c034561379b0472bfd4e Mon Sep 17 00:00:00 2001 From: peteraaser Date: Mon, 1 Jun 2020 14:42:21 +0200 Subject: [PATCH 08/12] Add merged stacktrace image --- Images/merged.png | Bin 0 -> 258140 bytes introduction.org | 5 +++++ 2 files changed, 5 insertions(+) create mode 100644 Images/merged.png diff --git a/Images/merged.png b/Images/merged.png new file mode 100644 index 0000000000000000000000000000000000000000..4882efb0b30584d04b9f21b0836f4fa137c34d37 GIT binary patch literal 258140 zcmbTdRZt$$(giv|a0nz=fB?ZALU4C?cM0z9PH+ek+&|nUxJz*VaQ|?3ce$Kfb<{LB?9#OnS&z4`u78jlaQne z0s_MFhWt7Jd;=s!1XbKKjv*!j_(RLUxfwg+sa%Odp6v{zq!qdSaaOmgy`{CaRhcoE zBv>e5vkyWqAT)uVdMAvD5Pa*|{^oV}-0_v0nVUO(*VPp?Itu+Q2wez)`2VweWST)c zo}TH?t)Lc0QTbHW^N+~QVOqe5wz{pES82jY*o`is|x_3Oz5i)#W53 zRxO?}CmJ)m0n{gMf_DDxjkm~b{QrH=E5kL~F)0NwRIM_gOp~jgG$!?&yhd1lhmoch zAQ*Jj{nrLUQ=m1=emc9bFUx|kYORqewLTTi_|}VkVCg@4j4&9en=mCZdmgJkr(YiGdl34#@DzGg2v5U5@_P_um7fTdE9T#@bSb-AF1`us!=7* zY~~)VRjh5$J-#GnY0PiQez>*k>uyFk43}zYw?Og*t@iK#4tUO+>L^HcGOh1L9i?12 zQlL?XFIwBNtB}3o;DMxl#cCwwm3J2@%$BTD8zM+&GUsBaY@b)UBU!AZ!zRbocHWTM z{?QsTM)#Zi!1QDZ-o~oMWp?YRB<{nOyc;}a2kPHWd}Lrb86|5afUv3S1WXWkl4dy& zLRq!6hfi9ev~`e^^VnB%rFSm(JlE1r-7`t;eo?`(b9J&(e=y86)}m=;RD{Maod!RxYTnUJdTf?>8Dj&eM!MUP(4v zuDn1Sl(jP|3$A`o{u|A=K@>?wbA9>R5~zEp#M1i$DE9va!{jmOW6W_=9g z4=kB~;&48Lo>=+V+43~>4Cdz$<=LDY)G+!BvDgk5hA*yzf(dpuYFj|jzgO5sX_zzd zLAsWZ!l4ciU2J)l9Qz0}o5o2_f%QZtc z^*&^{Ht=;FjqU1Q!d&#V-|Ep#7w#gtXR9p624H}NdXou|@DUPnq|tO_-O+5PkLA)( zK%y8QZpMpIZ=^Em$#PjqrBLEbIkYZ)UO>&5=gsfbUz?4q0I=ROPJ_k5#PSEn);u?T zWUIxoOfB%g(L#JJ98LHb#Y3njTgW z@|w!9#zBE~?b`9yKO8@bZHGtW_<9m+Jpem$d`}pe#b`mb$a1!nc|WQRk#Sx< zOz-SfSb1=oN$O-O%voDx70kuGBtl)W`_I-3EK80yppxw6eTKQoWfeJPHt|ntiNwXU zZzAre_-5_-sJZk`9ad{mteGlp5k9`^f@>2C?bG=8G9-&fecLxN@4d>0ECAcTuk|WE z-cHuN+A4NBHQeHkf)KA@ChMckuQTv(9`^2^$(i%BB_-_QAFH$ienK#-3ZmmJKiv!e z7f~iUm*mrhs7yw40S&Dspl^7Ha$xS08Fm7zb!{19e69c$dV(?b5j%T5oCLH14q~4` zBkly;bBCI86@x6)Pc>Xdu`mf;quJBu5d^?LR{wVYR{UusyxxLZRIz(=$}BlQ7$dJH zIKhL_UmgGird3>(P8T&SHkzE}z}TKXPV z>rv&JEV6rKWDGtfEEa!dG>qaMk%J~QypFy{@}AX{8e87g&Vo^p){d$qkff3;S^II4 zUzq47oq58>y|BkSSY{HJGIhIAz)%|Yr~L1d3&JW*5%E9ZLLftSiXGl=6}0~ zrTm3tsmughC$Wta`{my!%{k_yX_Wyf`Sv86Tq^X4hszX6&QFK|Q%dmbFo39{f=Xpd zk%m++rmQptQN!${!u(tvXik^O=?{>Qm`Xh_E2t);N3Tud_Ut^kGVRk)@ukIF&cov8 zBtfWuX4Q^EK3CKXF;#)aO_t^ENAagyo8pSRAw$VLZ$pY&wMYrsl*PN=d$^D#%Oy(| z0FX^I-mRv={KW4RrP_SPz5?O0_RieIqP5eDh6kE_-7otKTs?^0qVoX__Kwb)B3#Nv z``_F2NiNTXAKU93H2PQE^wzvmXiQIdI(;@cQ2)f{rc(c5Z$(|XPc9SrugfO*kImr! zstm~V1__#kP|j;^YK+;oH6IayS^skIG&b89#Uo<0+*p;`HO#cL4dewu>r*z)o&rFt zTl5;&xa-~1I)6_>Ood8QVMx5)&Sy!6oZ{S-Uwm6cAAHI*^HUfrMW1Mg!)%y|8{Yhr zYCSdUtqrSjq1HZrE=H|n!KMR^|+7f z?2~4LLN0y*g>bMe(g(|Z+5XTWc*sCqDhdTGNLQH=<}Clk8BBOHH|ySN)%?YtABD!dO{x0*x6x) zXhd^1jhYNh>#BW%d-J=0bfho|H5|Wuee!*=uIt^DBKlJ~-Aw&_H;q$Y>f=vM&!Ri= zS32D#^PMLMY?bO7DHnd5;{FVr_U@P^Px^>2NiHjO{yR7|4bsKL>Mn74`EP`vKcYPx zSQ(gjeb`$d{dNYYm78Y`G>Iu>k_Q41s zYaj6suxqhaD>r{Jr(H7kFm!o7@sDQ@wPQ_~$w;9=s&^(Lrb9VvZGmuRdL= z_D2mAXVhANJKWWhNr|`Hepm$~ZPA9(=RUG|F_nPH?V742kX9UXBd> z<-w%-AFZwVe+o#QeI^z?VjdT)x6EOag#E3j+uCG}N=|HlxBS;qpE zO$OI*AvYe}px&}kpN%g`)N0epc@dE#Tgo-7aJ$k|fiPQl5UEL4b#?fkGS<5R(`Pt* zQc1?JK9X%%u|;{~24TTWlNR`mor@=_`Xx(XzG~YcZ)q4-UkeY@k9#?0gN0rs*CyW%12NRkM-H*PGo0^*kbWPJ64-a**jmeVyQr0o_02Jnhh=!3t ztwmCETJw{$pe5N-Fx&|s@RrfCrLjsqUy5inF zCzVFjQ$FjR=Sy`W64ytEYv!J0H)wNgn8s>BGXM2&?DF!5ZRKO$(FE ztfJMV7Pb}Y{nYMTN$5RgC-?RFP1i}7=1&?QBm{2X+l;pop?ep)57oxBF9j9)Okq9_kG1d6LeoWOKZ^AE~ z#FXvx{TE#QzsmH#&-^dI|Cbj3f8H{|Z`ha9xFS?}R(mxZ9vQtR3Es2k`0A>5xQF)9 zYmQN2ocHBlay)p${&G6rFare9V6(|~JZ%lCdv5b)NFEt76NmHWX}6Lk5G_14+UxR` z;}eh8R!AXm+jq0l41g=U&A58NOHNP6z`8!@FY(E1WybPi$9FzX6BZG|{EAqvDyPD&1-YU3%o2wGqjBeDAP~wGJ4^#e7^$mP6PT?_U5eojQCpcKaZ(08E zoGf7*7U(!UFuLhaiBIMSYTrv87}~RM(qUkyCBKFANMDK9#Tm=4xRX$W;z8h{F*&qz zZ#UzoQm(`!IRBrvui?RMqc-hWlH!%kR}tz77n zZAki9wm1rx3VzVw+7LAQ$yM z4eLC(f};j7n5P+S%;AA)S6zvX%PBrc;$E7quXY@fA-@DF@UiW7+nyui`FS*fV;-kb zeF;su(yedYtNH8B$E+S&n%kxy1VERmjy)keKd5KN@oFIzib&r^`$sdjZ191V?PUZq zIXjj|^YK^L%3~c)2De+7u8=_a(yw*BJI4qfsPSsYo?D+%QhVeg(Y#!*jxR0Zw3BA7 zZK0A!cI-JGJeoPJ8}dV-^?n9{YeQJ;4_%a8+omNS3dbiF|8a_*$z8((z?B)pzlRL( zkD((Q+h$~9d@auIIye?XsyJDuyG|SrUtLWjlDD=_%FN1&A(jsA-llr4D_;xhLZfqn zgJI(^M#qMR#^URewRIAzJtUNYBXuI-#Iv5}m0#VX#V5CgHAq_49PW#ZbbRcLlq+vr zvsgbV7fu>-4BS&-j~FaLk z80IVTH!sH@%7&{et>4YUqImAg)zK;cUzc58#pV98&0K;A%Pa2X(2je2-5KF+{OiB< zx3jgaYt#i^$GfLy;dpz0Jycz86dxj%WJHvll$Z?OaBR-taW(E5U~ZjPb01ebay;H0 zX{tLe-`=N8zHL-9X1N0I>{9qb$U4(L>JWSeyEgG)0b*5L8B|9Yfqd=!4NkHzNJQZL zdmTBbt$zr_IB;HQQ`Yx&DBh1_I`fb$}zg#o)i4*evvbufsxzc_;S9CtEbRHJ2N*P^$)E_ z2PyAL`UE>SeLogr=B>lRTj0CvvgA;zWAjSJwa8tvo0`nwM=V_Dbob{LVP`2dTeXP2 zR(Sj(wAg$`h-;B0=>A}-d?{lXGO@q|I^??cNbT#>@c<3(U|&p)pTs(sm_|ELXB^Kc zU%m=gHEMI5O-EwIRXo|f(283-#>vsyX{A&6Fq)2umhQ8*q&Kw4C1(*NV$|P6(V@Bo z>gx4hrLdiN*>bYD4*!*tg_r1*_oOg|OWR>w^ZkID*Wgo>MaXVqpDb#mwwADsr>${# zK|;hG%&b{1X5&TobhRHFJ;z2$Mg-6FgNfZZsnhA9ml7o+7ogHoK#%W^KlXZwzdFv6 zC2h;pA@z8~jw+;sSXXS^TwdWzO1DGwT-d3fws$}2QT?zpY&F#`+PpR+o}cpDuYL48 zNYQ?s9BOZE!1bAaDWJ2N*q<8HZBxZj%h%M9`dcVt{VU63eB?C=jezx9m7aj`_& zU(w}iQ)EKE^YCE-D8FT+>de)P&|rn&WEBB{$dR6{<~Rsi`?)TEi*&{sJldTCs_@9C zEA>V;B=2?CJ$Qc2_XN1l7=IlunFSVJbPn7-nYSkT;qq<(gR=317Pcvc$In%eVT~pV z9^h{nRx1pw5A9`0A5|>5JfRxYwl!3ra2+vykZdG{`bIOeCd(U>W5H!^AM^ZuKBihU zcWR%c?@#*5opa{W2+eVAnf3>8e)UNRy|y95RtFIppqDnv`;8t0zVNsVMEcP&w`rY1 z0hb2tBm2;h7TuBBoEq>E17LBvk-c$Y^^DepvxftKu;@R9IVFW@=)kp%3~F6(^qJ0Z z3Y<-gJO`8KRG8k;i7!8WiMTd+Vxj9>*MX)Z(^xh&kc~UqbnXlQAtB9wI=+w2vXaD< z6fRcoWoMd&-kRs~JCE(yHL~(jk3z;I=rECBRYqQ2>90LLezZ;CiWC%{nb!a&CYgV* zO}t&M64xh~O4!nTDKC~d$c%ZJ6rZ1y+3baL_h`a!c{$xmzP9M$@ma(8Hnf$;9f)47 zV7%1gRb~1J;%_p>iufX9YU?N=C=1H|lbWiTwYi~`Uuj}lIySHTPD_UedsqA!yiSgX z;6sumwEZ*}LW{NSV#u>LL)Pki%Qaxj9c9PDImOYPE$y z=0%TQn?HOc+KB6&5Fi@66!{}T26rN8bRrV&TNz-%693Qe62q|uite}U7;16cd?g=A zgyj1)VH&>LoGQ>SfPalv=9)u(+TO-fG&e9Y>U@sjLIF4GXIOD52E`&d#dPpBnu=&j z6+elyhv*TsuFa*8SNOEPLqK7syNiv9ht%K5bn!sB7qnI1Nr~FG+!1|1U}R#UTVdp^ zXPGQoVEXTCmqjNUuA$kF%E_N-0N|s{3?us*k3$qN^rLis*!N-XA7okXkY^VI0QT|I zz8qM2a&`=DjAUg|Aw<7}gxQHp5os-ak?+mr)9Urx$7~PJZRav6lco7{P^w~1 zxjvM)-W?e*_`dbmXM7G7E0nlIk&(GUL3xtvL@O$iR6>z;mYv;JSDBvAITNd^MtZt_ z6?S)M$IfIwJL}=|eUhPn)m?qy_-Ip#wST(#S;^86;(5`+e|=wh`t#iqOPH^q6{4w- zoy*}8ozBVaf@K^0G*+VO4`gPR+0<+kar1eFd1j`zl~p4j090L=_axFQUnRu+fX7wH z3lHAs_D;ak_se_!(|%?Nf`3X&O=#h64!zX0X^B}ffrCG5hW2l$%&86#^uMazCJ_aF zA<`>D4-E)URSf^hnp%=&Yx`U2=LnSRn2QHkuc^!efpqH!nTJ@RDV*nFU{OZkku(7G z(it)9s%14C=dm%XV065#E7By1S_cIy4zap=c82VP@aV0!*FGU5EUu~kY1>`W{?xfX zV}G#wo0KsqsEwaftj2?x<4^v5+6WTpPKM%Fo9=BCdAcvT;VNaHdu1&{co2fRWFw32 zFJ|{iK2(+Zf}z6Yoo>faUZVtzRy1qc2cwN11(NY+Sx)&Px!R=Ckf-N#Rw)Xy)9TGr zY*Xuz?f95RKN$ZJ!G~Yp@2afF3*`V{m$?e77uI(i%dCA#->BUUV}Wc;mUE{pmds~? z`r#?s2osdaA)93K{fbIlBv-c*rt3FkekANZhi)6<`0(&U==qdEwbBy8sm}!78?^Fs zZBvI=6?fZ0m+R`23Xjn>l4dsQFQ%ztJ+tQndAHOla8}>_jV>MjPpR2CICG*tjNn1i zs#&e)UHBXQ4gRvJXUb8CCz|11ZVP3}`gUC4IE=#}dBdxiGm+hV5aga&%u$JKaHGpF z6f|H;rG%&5__taO9`H{z6v}X$KWtoyXrVy=d}AK&-MUsuE82Yt&&>^Vt!&*z_8Qts zYMw{Z$LZwpf)FLOzoP)_^*@Y^|}&_QK!X#JzSEb%xyHs3}F+O|?t&>PE^p$tY~*p)JBt72HJ zE?~`=h#5bsei?K<@j>F=J;;mAC#wpji6Nw>Q2ZE4TgZA9J-XrTqORF-GfpX@;%TPr zx6@ncqdj}5@<}LhbRnLR3^5Cf&|VBR0cHQ-k|}yX{^n1SS5X9#avM3Rs~WE(Ir(C% z2}~NDDZyTZWL@O7lp{AqU_MQy-R&MPBJo|L6sVVh2NmrMlda zDW#vMmV!vwS|9yo`IYVaJS5u!_1n093tCBG9J6eAAt&CHmJWRvbt1`xkghoTto1E! z|I%CVY~I?l0WwRT)d{g?wFR7TSMo`pKi`|%6cpOrM3ZE!OUd6vmo)EqJP0ZFLu=ym zQ^eOPSOi&ugFzjdU+I|V<*g>`h;@UFHWO@?>pyXN+eCRotty%AT6mMogIH-Y<-CI zDYipoRq<4RG&Noyw!EHO2?cRm+O{H9O*fP6o-~f=gpHDE644f>K4K*#?e1U*{$lx+ zx5!VHF5;cw8W*jv6!{sN=I)nY%o*Vhw~rHAlEiwwlan#t?S*Qy<)2>77(S9$iAQ$Qz*Y)jT7Opto48;3Ha=_vcmJPl74s!w5&;)a4oi9U^uWj0^|2R{)5 zMhBV}Yx?JufMVL?IkRG2o9H-qmc#u-dGxVfdhU!d(eZ;QywFqxJgRmkO7&IT(>n-#B917X#%TB6YHDACkM_;k#E&8&7b$tyYddmn@9vyn0!z z1k;zkjq!1Z{*gKLFWxjvKb@CHv%QePp@Yi9I$)mizJ<-n28!6kU7wh;j4#_5v=4dU z;^?j$JxBSpSL>Y|6ocq=?d3HEO!bwSJ;Z8j=60@3vh+;31y&`uPWOAkoZP>)X2E4; zS|eJ7+pX19Nc+P+B(lto-mCYf>`k6+F~jZtxqf4ZJZl79cykSrVL0||@In~5^vh$% zS~mZHn2fJcV%R4tA2WY#{p3-qia*r+blV)jU6gjNwJhqmLl8@Xx1D zNrk?z7lmpZ<~b3)<;OB|oONkFe%YxJE1l^Quj)npmNM&!#cdZ34;kr2Cd%p;2;A$= z+_I;k3Z3ie;Nd!LdVJMoaR6EXN>VWqE3NnEema^~tEei4(Ebz4;Y5DZw{82jh;jyO z*)ia<-F8S>Pt*xPdY~=bdlylW$E_r_w4`hghep3GA!{gP4%UBk1u2=0SiDbAnAs^( z#Gv)saoMh3OR?4=uLX!rM*;|J#_GN)ddx@&I%1o%s+LmUU6rMj`))<6S?a3V;)csO z<@J-6cdAN+{6o)jaI~ASI$e;$?dMLb{dO8CZ9`K+MwNw(J-2nosF=7|6&23KFXwTZ zWh6#u&VH6#`j^^c27634%alZ0ydX8%$utE+@_Yl5_ zVT%FZe0~_wpNGZQQ}J=KT7*vZvXUf)EPFEY^V$kPzTZ8Wyx-F+guwIjH45(l1)Z?O|%j8ED#|QGGsOt(OgpOkC9_rwH+OBz*5UJ5xJzi=q44U?A@* zf3!Npy#ztx zqt3r&BgChmy8AhXd}BQBH#!gzC80nei0A*jgVPce)KqK|0sx$7Xb=a4ngjMVQd)A7 znYY^u8s<_&^hHwdv*uQI#(gxC$w&y0or4(Pw{?*?l4KvyR~I|r^2O44teSmS_c6vF z4_c#!ulkX=Ny;4VXzY^KJ08yHwfIZ^mqj>W6GQcdL@}Py$qlY>l9?@YTp|MX@wm;R z;j->Lq+f<2RGm0Uc^Jk;EmNyfk0I%Wr22HKL@tVDb!{2@y{RV?-%3zZkbzrfM=uB+ z=;ygZ^4YZI=1mOtBT4IPV|ppo!dM=*(eo~%6{K|(@M(wD8V8dMV})&B1~yridZ-RMh- zEe5x!B9ce}x#j_`W~$O6Cuhw#w|!8>&To1%qaU1HYBqC(ZN$61b_sV`)yZjJro?Tz zOtH=@me4rwc)ru#?+B_4R2@rh)ZW(K_=BJ47N{ zM&P2Sm*h{HLtuKGpGq8atDO&M-VBbO0}W8!G7|NM7GSnG_&)LJ@jf1z z@;+h~3knznYGuwA-H3s4wXZD9@tJSxNrtM|&vc|34x1Ie5W-eUDE;e-BJ3Q0;Ja)h z&7iFG$f%O{N7x!KL1KYc(JC_buY^U|9V^!JuDxlU6Iv!gnUx&oVy316$DBKZ-Fy^A z?A|D{nAIqhbgNl15d?DMFQ%&e0TNU*Hgoh+o=#ZIb;KSXCg2qaSQVRrky2_}IRzuF zQC8BW6@&~}{M-1WW@Cn0yrW2sj*VkR6!ehm zEgdE$LKmu6RF8sIs`T8a?K6fJXm%8l_(lfEz##)+8e~)7AT#*eo-8o@y<{ zA3q^?l_s+=E*H4`^J0x>yE7*Bq5{wn9TOOw-<^KxKN=$0P;uh+q1Qx#%f+;ENnRwQ zY&m2&{TpT&_t-LV`>h_tQteBJ)8bbq06?bFqKdSUOecnxvgjrjwes&l0%2~Q%ntzK zA7CFRUeI!c%R4u3qLg6ryY`!_SXW(I|+y5CJLrK6Tsu%c|d_bdxj z({V;0w47WYxLK3*l9X{agqf6Rf-Nxc3G(v_HZgciMgt)CAg5s#6ptaswkH=fjrd3R z(Tmf15!cA+$m588IZDUd^0ggHJ>N-*I!!SSm%l|`-<7qZrO|G&-3I{L3+-B*P4C7t z8Q_U%<}P*kwYlmX4;#|wUB|iF&E!WUwL6c>JN08QH_5%C%)N<9!!N(QAf}J6u5^n0 z&`(Xj7@n}aUR(JB47%No>dn`(0_FIoqzroxAV1xb-Yt1Mc(z8TC;R-{#L|wVgebiN z+`6#jX202tE)pNAt%82oCvj6%^~8E=WPklZ@H;fvET2+z+fNQL@6A|rvnU!_ez}40 z?q7n4EUiC_DtgP!jj#I9g0gXFQ;#j-j$@!~ZNRMOGil zK{(rVrYJ9KR`<1|RmLH2OJ>su2U@UD3`g9kN~ifUYm~vutg*f9?#Z{{`mml8#wcGaO&gsXVWXYqVZ!-hE&SEvS*=+3Cyf;zrowm6f9(6$M{9397F7Nliw5KG#uig&B7DA*ZY-jbN8?uG?5wR9dm8xXi}GV9E&w|gWtk(pRjakJYR95W7_KP!&z)y+q;5otS!GV#RZ;<5p=uz$Dt zAl!f5CPulP%Dn)0^&y|XM~#xorYHv&$g5GG9h?UkgwZjwQ&emV3g}>T^^O-aix@sD zV(Kbodupu99~quMkg6q zL^p^k?>gC9-6Lh=pE8+a zQdjv4?9l|*OjB4G1_}kM48-Sllk>v}NXU+cm{4CrJnN5222R@h7oF_9{m%C}G{n)X z%U$L5ZJ`$X`V{6%`336RS%h_WxD`7pBmkh!unkL8Spb2$tgUT0T|Mi`RPM{?`zZZb zuH}^C#9q}D*}O?4f!W7(On{$zV(fsm>*&d@iPK_l{*1%Z#C@kx?FC(G8tz+Rp^`}e zseC5`C-+#tEoPhFje4}Yo*Y%d;-@%pT!It`i8|tQLV`P=g9?FLxxn(A5PZ#N@@Wxx z*(?hvg&Ciz=PXPB{m++_B^Yb*lV+b9wu)Ty5LN*t**=|08-8Bn$MB&jD`iR{{*lno z`F##XExJN;F;~Z(y59It_3V7rWYDmnj=XjUdZWK%~DQ$pyO1d98xW18FE^Dd(5Vq@YLb#n4^ z^STa_gyDrrn>vvWu>LU3-Qruq?7POawVKyj6Y3n1TPJJfJH-&@xptPz%{fsd`F6Vg zh6_;PMb!MzS?wrRnqz7xFHa4wbd380n?TvGigd)2=674Ze|Vhb(#b^F7?b!3^TIK= zjBiDqcD?tecs723a8ZE@?X}Hq`(Im9W;wZb2hp04;g)i1Z$dnGCzK&k%ov^-V2VLHW%xN$i5h=NKlg~(*AGN zfZ6~Qy>*>VVQZ?~7?i6$Mi@9mF^xI*R%8>ecZU)?A>>57N= z`iunvUL$%HTE;~ko)4b@gCZX<8g|Q)i^5Yb0y_bqItnyxCD7i zbFUf+Qtd4i%&Lm0kCo~R0??H%#`htq{@015o;Ocw@f{a|?)BY~90mrKBx`JQ69x+T zR3(?C3})aC9d45~B3I{vPvQ}#3~%kJAFuN46oOYQDU<&@#N`YS>zA1 zV}D`JJ1=-Hr>-Smc|2t0y|;pRpGNnQDf8vKyNUL<@-^@B5%j&@Ibn_Gpn*MXMr%Jegv&zd? z@+;iy>k%{%7J!~6Syo2FY5m6P$6pn{j3dpaPT=KjEi^($#6R@*)rz1^XoWycCVuq7 z&Tq5iZJ**gIr+4nf5#6Ej1j=OsJqvG*fs2Vs$G^N!+Pm^LN|B3?d29j4G7F%of#bo zPUgAkv7S<)I@P?dk5(+~e0nK~a+usP$zuk9(POPT>5-2eMVP@zj;{!CywP)ph`!JQ zOy>1mG&L<%ockDG+;=9lhJv;=ml-@5j#bfdk2kUpEAZcW+(eZS5QYbI&FpYP@_sF+ zV(RNMD{*f3Og+vm5v~68-F;z~Ee1bdtM)NxAPT1=3Y2N9%IuD6`K1=kQ?NfnaurX2 z?7L$dJv&0V!(wLzo5XiR&(Xr{W_)gL_{{+Si}Ri3B3aYzkjxJK0-e!d{8vt&$9km} z2)V%Y&LS{jx;1H~8M>E9=}xHYERh5cBzU&d+!WV%zM-nJ*~N|%<-!CODqo?(NQ6>KG{h{LW)7q zfJV!f^Zf}-(&n~NAPx*r_t0+F&P7~}MM%)&{ak;9s%NF?R1t&@7nxH*V>KH76wq-h z&IXRRw&i(pdh+Wz`>6z@YU)pJ!lzx=)Q%~=8cF|LDi_sA zk>ydH7iyNxx$v>Ld23XC-|x;lgHv_pFMf(5>N9Jn?PmfsfkvCpAZUE7M;M8Kt$sJ{qo&^C?_ z)Nr}jk|DD|jdD3F=@d<$d@EYyg#rr4&rFT8`wi4;bsFO==2t^JIZrhhG)>c2ou+Lh z(c%Zurp#8K=BpgScU~CXw4sXc(q0Z`EhBKMO zgoP63_f8kIzc&{?l@9Z}N#B&NH-i2t5L7?FYqo`hDRC2@^6`mvly0$rIf#7%gCNCc zZ0v1H(FdE<^8Kr`APPxlSQFTX=AT*eaC53EpUKEfUfC1MPHOH5h_~lLJ^|~5agsE& z&2EltK*|_|C@VG-h#ew+m>}i-L)qdMUjjc7iScHti@F!+b-3t`W5&e*+_DzbRZ!zqQ*b$Cz^-A~WPsGnto4->S(+j0`HM_c*#IrEJt}=RAPGQEGFLh)!ntO4ybh zVvxQ1Ol2Qn&&w5qd9Nn5;x*ZRC`jrZ4Fzc2dY^zY(!td9IG;%vXsL(gP*#~5?F#UA zx$i$K-tL}xv4Tz)=Q})BgmO|4?hBeNs`utl<4iPtB1Y+@5%xW=@;Y!nURD6djjbv+t$6w=E|t;k3ckmznj#iF&{!RV{JWWv;2G=rb zGZMk{m|+N?kRy>U^Ir5^-zuj?5vZ*=H)i)FhR9V088`kiSAmoEO89T1Y3Vj1!<*Xb zsHV0Y&@}tC;L%7n2{TJnVpi9`e;kT*hXU?CFOU^=-&Bm}))oYLdo{_P%ALrUUTL;-@qwgTV{mV`Fe_tP zBx#x=KK8FPt#SHp)&Y4C`rW|QyXx04;%!kyO0(<097l@As6g2FompO`r21DQySn71 z&SKv)&?ikF-7NM=XsR1iv9=@~EL!lN0T0(uQHjODfBvaNuZO*0{W3fA`|HOl392L= zgR5VVQ<^GC7Mr)cdNWFkB+^02Za6FIta~Gb<^r~GbtM$P(LX`x8%y= z-~AU}mf&gebbCFn6$Pvit}SHkf=NVF^y1KWoknuHIc~+xqRD5O$pwayu6le3Kz_fK z#(5i^k~PJG*MgrL${|C~X*ij%fI67)vfNArL7|4T6s6_8=@SPSY;I_ttsi^oAKhOn z45!e|y1%)Sg?IBHm!FUFX_50AUcr?;N>$tm7Gz-8fASf)w0rweC3mu-y_B4Zc_~MI zF_hXq^qc0b(CaRRyjg($YZm|U?re1@s(Bg3_Cq_*#@CWTPx}**eCBEG+0U;X(J^ju zDlS4IzozOIVrlQzs4jny$P@CdJIOUiee=Gybc3Pi;%^Wly*q9gtl~krS)JYb1R4$3 zxKPcpa8Svn1pIrdD?bi!Ey^CRH5YtT&LG5hK3K&Uc+Ul|ioR!{(|l$YginaWQ(Hoe zYh`u6;`X9-TW6`Vw+ZkS5;(YJn+I>Uw2z7uyl)RCki7Uhorr1wGJJX`R)FXvrm#j* z`7>AIzLgc8E;paqqKXxUtgGXF>XEz&=PCE?@lW(zXN5F`=`tTIY1p4eEiCovKRT@< zTgW*P&Bh^d;F2p}4?lI=u&VMupX94-b*knR*uk_-D8N^S41>-_GJ*TPm14$mc^w+M zyp7At7S9}_u<$lf%rS!^PiUa`fq=)pc(ArR%)d5W4F}fQNE>RVa#CK7aB!xg?OSqQ zkLsr<<$^#{@@8nj*fZ*QrmJv%kQlO*vR}GWG?3Az;*x^v-Qne+ADd$}7KoLA#Xzul z^SoYS35j?^`pIk zU7KBEzjxcY0OD81;Pp{vTPr_fDX(&O+;ASjEUI5&%BU z7(=R3zc>h&ig0Fqs*Ct3)PXTmU-Bt2(zK+jk zMhpaSVlxtz6D+Gr>#Te3tFcZcqXq82!6 zm}?VG+>fmVf_JRD2VClLc|3d@lU$@7KQm;ul7q?*-X1r`yB84dkg7hebb30RVZ({e zO^L$0jS|%4dAz9_dEx&Z&g<}YU#HT|7`nL`gOEcdKK0h6{XguzWmp_hvo$(+kl?Pt zU4pv?cXtTx5Zo;|!F_OdcZWc5cX#*T40+kUrTfY!H+BN z1LYj$+fXqf-n}9h6nJa$p(x)hI2QACqx;Lho#<0uP9W%4Y)M&Jb(N&wwFnv*XK?qC zP#jYi5fSq&w1EVksSlx<)#u_tiS#?64dmeIslOE;nu2~$@Epe{%z`xkfC=x0WWnL^ zzYV*=|L_e}G(0W5jzr{mYllzb`-+QITN6gpN`cEBAThS)Et?9LSZ9Wxi}QNAgTWJc zDUcC$_TBssx}-!Ak#A~o=L_A7eX|BbJnpBS@0TM=2bI@BYe;qjIv!*{j{i2BWSmh* zmYn;m8X5k_gzj?gi6AN^CJ0s)+0UlC##iNDS~gv=i@)-%9G|Rmu;yhuc<3VadU%=K z0K`(#@`3DVpDnwc^cG7)Vo2*ZyjM917v-{y%WY=bk|DJO>3ggMbNO-u-04vI5G#jCW85n2!a6y5?rHChJuhm@3G!`-ic%i6>qb+d`gZSI4~=`dP07)Y8JB+m5aGKq_g|Jg7)moi|&fWwb z+hWHq8MP(_n9li6xZchyxe#g)Yg;IKR;Hw&3A&1=ubkFj%D)tZ{T*_TFlvDpi0KuCBK zv*T{x1>&XhEec`To!pe+ig|-_a=O-;WH#&bMZ|A0?MeR^+Zt6=J-rtlQ5=#92Jj`h zwhc1-6Cf81q@zZK0zAP^2_o8r0i!S0*vTo^9&U7#1GCIo2Eb5x%kQ=Z(Tl&$R#7w>-oC^|_Tcm?O!Lb0e^N z&9eZ<#u42}c=i@p*#nAHjp#=X@qh08xo8I(``?d#>Mp!NzjOB-=>NU;SiD&x7A#hG zIRePRO0K;QX8)$~t$e=eGwDY`g{so?6fr#51#QJ^yuo%tr{dILAo@K>{pYd&zyIdf zY;}e#==7tt*554ICOw+l_agi4%_ebG6P2B)Za@2Nj^OA~6Ek=vBZj}ZMX1HyQ~dYp znxek5kCVe~rieQ6EQ!%V+n54)Oin5&5>*>=v$l?C%65kz`g!`*;&&kL#c2*vshI=` z+3)XWp%4+;q1043O|1IHtZq8`xY#HNWtD3X^%!4~!wvm{TT1#|+Nf3QH z?J2vv1Z)Av&+xGAQI^At=#Hs*U1UM*v4eU6pJ}TBLBb>H{ znnyf=tjfwfVe&I^r{dcwzxIm|kh6J&fL3VBPD03xp4QHUe4=}D!mfeA;d8UIvvYF| z+JvGM4$PI+x$6l8=nA_(t+Nn;E{h?F*t~U)uFW8*d19}@NO2geGTJsh2tE!EWz7rl zTi5j02U{~PCj*#}PMZL~rMIDcqR>PrNF5o{**LET{#EKeSBIVBA1^ym*wn0yeHZ8f&^6GkB%^Yp=yhmdwGF@3;%|Oo74J{!NAOg<_0CJvV@McxqWvn%k6L!axyVG z*hpy)vnMARxj%5;Qesg>OL_ly>j&fly$gd;;d7YDf?I7>KUVfYJ=$L=_xt*cum&{< zT~IMJR$$NsIKw1@v)0#NdPvroM>h?H!;=hqse_-gkM*cl9aeVKvZJPq%*+cdOpnaH zdR}RRUO$i5`^rlFjux1M=>4&L$RKL0r>It4ZpIyJ8p7)a0|+1>F@_iN+)LBB(Tc?? zxheYdaY71a?~UZBwAHJ*i%)ni@qgMeSY;&!$i1%&l2Pn}{KW61Ejf3-OYDXSI z(uOY`m|t*ShdOXFq*Wp>wI&u#GJ{m$jjq!5+-NgYl@v0UK&O{JYGgfsL3NZ18|OV= zuY)m2A;Z|lAcQ-%(!U8xKUSXy7nA(jAL=4fApvavlr5FZbhdOGP~9+-6L?8LeGe)? z1mynCy)QFP*!L`>S*%?ejLx7!I{gFyw9_MR?V|1axklz+4iP30JGS+i^NkCo(UbmW zj)4N;Mm*0nZOeL~ulc@{~+fd)G|V=I3)&tPaO~fN^7eJ4HPCp}0U@ z`|efos4wK}anyIf*YN3@N5hLq>=CrnHd{H()eyD{2q;#+I%u$%_Mc?&cO4|j7YCv- zTVs|?@ePHy(cS_8-^YtFayr)!={MHiy&WVx_*}W50pFRtWWw);S*muo2O&i}ne39O zCwZySjCO~2m$zLXg5&zd8{o2hb_c9}BS)IobShvT4ot;Sdl7C&77=SUW5T__QsJ0B z^H(*~zss-xnxK%rl9^pB`9w;h$UviBdLP9gdMO`>K-B&G=d7V+tpV;=XnM-N^%Q9T z)_z53u&UV3{p0E69b|{K*yNov7VBKfqK0Lcs(20xlJ7(~Y)BNOY2Lo*Ad;f+tN`D_ zcEX0iCdtwrEv;?yyJ*@~1^k8$6d!8Z{5C7V8U5!5Qf%2f8Sff9;jY;QQzh1{|9=p?}FN(`{fDLpHXl|of^x;<1%r( z7j_1R0~wW^l+|nPu^(FSSmJII+E;mjl1yD&Nlk)+4FB*59)2yp(nICQWoMNaQ|p30 z4^BOPwuw&ffrwKEt0b_~g3cewscUlsVy7B)8z)>u`o2DbLhVkCIkRM8*RoR}5I3MV zr2&OsU@KFLtGFEM-M;7KfU0&e=aGzpsN|LI_i2uY=uZ-PP17iWTVaYFeeEJJd&zFY zgeOx9$5YDp@1ow<>snn>MSC9{5rls4(^U4uc;-DAy~K|d4oQ{22Qg%YUoGwNNxN~{ zApm#4_;2A?7if{k6uOdS;lB2c`T$(dhX}1co}T9YU&o~mghrqxdXCEoJbqEBQwou5-14>AW6#c?J^KWjUR z3lCRuWbKa#D2hhVv^|lOAkPH*I5MrE@V)+Z?N<9?Q6LSvj$ZYP7L)qhkU*kA;w-JR z+=jqB$RqzHvVmE8ElQe<;%RXCY7+(=ClqY|iv;pW{vs-Tch&dY7E0^2-bPCh5C5?> zUSD$g&UM#k7Mz-liB5=%iksO_9U?6#BUGW=9e#jLOU=&mg_DQvQZ_tEYKoaAyBEKX zS6eE$64U>q*Ov2aEEPANLia1tob^pz?lvGNmz#{f)7iyRJ=FC3QHoUf7cy>^FDx`v zJbXNVRBN~&_I-6osY!YGs5u#ExLHF`Z+6Vv`hWEpS5@JVQqZ#g*%JCfMg>gH$mg!J zSy1K@y&>D3RWT-q6eAN4F7#`x7)|R5nz3*L!B@U~g~@zO1+;^4Xs_!l*XNUA^Lf+Qp|nI3*9O)ILJbi2h{4OMp5Cpt3ma070I{W~V* zsXZ2YpDakPk)>?x)FX!GVPJrjF|9^0YY0bRdA?M}#Qv-814wsjb|s|KN5eR6sd*sL z7Rg$pEW|0)0t4O651k$F?CEghJ>=s1!`Bq4hu!DKe2)^IuP}eE77+j*gh@hxAWKlTi=z!T+^}5W=X%oFK!gVOHHY5DmxeV10UhXa$}Sf+fTx^ zz=!$!i%Xux+9yIuGPl~S($b2$S3FWyFhvp_yEwE0rAr9WocKn8C07qFu+cm)6Sx=lK z5viSQJpGE6jT%c9w`W{u@$$8H)0pl4E~1wIU2m=(B@Z#*{i?lBF_ITXC9ov>BTIf0=A{sw->9HbO?2eh8`FQaS0aRj%cRZmYX zbm_gbFG-rHXCNwW9xee!Mn-`|W^74My^3mLf8VGp99j+4qEG~3BZEKV?{J|HCk4!D z-M8`KfatP(TIOD_dWXF-1uo4CXI7o*GGh1J=^aYf6PGxt{M`OOGvwngH&x%uq`nlW z?ZYiCXqE&jeSy|ub^IQ?Zr;a}fh_Z_S7`wf;93leksAOb`+|bE-eM?lIo?(CEja(H zx;3Qm=XQknkya}fyW4Psth=AoE$_q0keDc?QfU_}s`u9W)Nk8W&mm|)Onf&P8HHkH z%<1D(*2$gZF=T#tb*4ErN%3Ji8pG6lfSP(bo|q^hKsa~^@!-qnFVok`GkHHqVm2T3 zo4RdQ)b-G=AtRDB8njUY){^n;mZZ;GYBKH3jFp0F_0BI0_`A$(uJl2_-gxcQ14M~C zjUgW7eytin+{TJ{u4mP|#fy{G7$M?jR@l)Ye*DOeaiA?QHsi*Z+KHEm~~X zgfU`DxrGT(!hJm*O?L5;@4D3275DQg@rlDCRca8aC~K&qK>!5&&h5b{Ch5F}&h6$E zhldso;7JU<+ilA4w}y+rRl{2P`9B*UaVO&SPE4!(cR`xVk6Hc0{h0Rg^w8Quqv|T+ZRkeNxz$TKZpaB3szCkwvad*%>Vr6CH?O5=VmpUy`J0B4_7AzIMbcBbRq9E&6{W z;SC5jwBF8Jh$=-SSP%AGWPn(yfHo4LzJGF`UCL?VIgAU+ut#Y?;Cu&RzA<;$9k5y(T zOHT3O@e$+%o!6!nLGgC-3)sZYcHlp;(oS1`W=F?47vP)NILTV#^{x*$Zrr)WMB*w3Mq5S`;>~w04A=H=e`N1q0%(vW%kxzV+62MV z=njgp4JejZ`yzBT7Q30Ug(N=nh0S(5SLE&G!B(Y49kXCyq%>7t7Hh{$nj-yl9D%N9 zHLpTce%a$|Hs!nx$1;zqi?ut9%yk?&AmvQOpeaj`r%*XwUBMr9dxW1^C z828lJCOXaF;r&8Zpc)nV!H^Q^6d~ZV2~2LD5>O zDf--(Ry(?Z@Gk`fHmzqxb{+SZ^HH=D`;t=QSo8*U+#3|01EJ33^z8C|$&PYaJ^n(T zg&)0pDDhy4j7Is?dMM)4R3;IbkNA8#4m>9(D`tGN)|Ymhz@!jZ(b^ zfUqfRnH*i>M^Al@XFuDwZyKisT&WpQavP%;VXtrMMv#b*`D}@~_P-Kt=R3Hp6)_9IJwt?&wP+k@qtPO;qqHixpECjmro9ULD^*yA*!?%1}<^QAc`rH)% zVUK+DVdlxqX79CLJ;E2sCZT0%iLLm%RUA7UiGc2661a*iLlv8u05P7P>cg*|{8ty@eROhAz6AaE?zf<-i5 zd_qGaR8^!6_eVQfU;f5@#r{h2&q_z}nC3B3B;6Oh_ZG|<9=I$D*5B77E&%R#_9V>W zBssp{wQ8cqo7i@|8}GdtQcft7%)FYueUlkJqS-mwU`B%cxmf)tE-7W_7H3p6Gh4zr zFgGf65K(%RdSK<GqLStm}UI&6lz7 z=V+gsH0Su>DvE%eR0bc_O&;1v4@zmcoBHxK`z2E4Mr=K?t9>j{L)Q34Jfem<)ynv) z35@b5F5 zt@#wpea_7L!)IRsPIUO9 z?Mb@MSRZmu{M$If-L33_6g;z^XY8(Y!dG3^Z}q4zSp$@O{!$qGVQci(Ox!n-s0qXb zha`mZ;7r0tfJ(!?ed_=!8RGq8gu)24xyhxC5~pn7y^nX9rbf} z7!2^VedN@iCjmW`3PDA`Dc?8y^^zqP&R~7{M+yJjDfMqiCy3Y1#3PY(e56`Yp4Z~gq!j$qT&%QVs3#K> zCVt18UxKHvK2g_#SE9E7Lu<&hyZa*}BT}@lw83%ff5Nxp{ys9tsHv`fY_*_r>L)1) zQ=F?AI$XsaH=b(=^$d1Ca{r%L07B%kGik@=T{FVe@LR|?A99S@y#lgNq&PTO4*Sm* zcJ*<8ozc6xeA%?xW@g}3`qC*-@Lq4XfvGi9N3riS=s@X~Bp-@X7O|E$8))~2 zeo=~2qTgzBY7~Vn*-0s$euGoEGaaS|e#SZqZl-ZL{#uZv`?p=uW;)AGM~tL@&Yovx zSM26KjmSqnJl@`4Bks#c+aKEu$W&v6ZK3u>N{>rLAzgp|w1<(fqw1DlKD7=76I}S* z;rEE1bRqc0&A`vj&aWWziJF6shI{;~YuCg?j4u^e)D$hy@11l;MN~ylqmyDcRxOz^ z7yuxtyjSDQ_9e|P6&~7Co1miJU^@tW>wl*55CF2MI2HmmQ%Tn_@FtMuX?T@R`qCjj z!!o@m#i4{qpADwFVPUv#Jl?^ZSsmJrI)ANv`H=mwxkvv$t@f#6)}M=aDO8U;fDBmQZ$)dER~PbL=1< z#YMUr3Ug^nU-L?--n#nXuYY;*Vwe$9*2y(=+4;63@!FBTs8j#Z9bg>Vp;xQ4uy$Q) z`LR8SCbp8tJoKoot*62|?ctgv<^QmoFA34o99!s~{Z7T7K{~lESdnoM01}7ks5)L0 zHf^!n00rkG_4Lohtb5EgPCaLf<859L*i`bGGZ!A`O-^M0kOQ&Af1SOhbW@X?v8W*-L zv?kEfMM^N6hOk#I?U~5rFCcp%pT7Lr4+vY`qWK4mc(ZB!pXx)A#`nCOgE~nax7{&^)a#u%=tpODYqx>;mS75B z@3}+q9=QZinao7KL+L33!<}wN^I2M#RMq7pq6T(Q2D*pw+s(%qztDe$z2J{K2OsB4 z6HjOJ=YzzdZuNcoQU{nY*K%qjt=h_nJxDOr4NfYdQQ#Ly zfATTi6EL&_E(6$jrJHD4yPYc+$m8TX{;>iIdGmi{Klj3{3cyvYxoB2ts-oh&HG~CC z*W{VyB&!ZtoBM>De4NMsD=mNi+9AKrQm<=J>yWZ%8~CaE2SP-0(iCVw~EMAtM5dQ~`R*&bIks1sm_9&4&Q3}vJ!?xox6>LfHg82u`_ zHs^Co!ki_vy%b%AiFXqr+jEs2ZB(-93oPamn{eQ?v0C3NBNC$#t4&a^HQ zwyW}A#3%OId^Iw?wXy$fFb@s-OFc88 zuvu!Br+cC^H-1r|yF5g*C475}bMQ6mBAfBorCk)L3I$eHBiRqKpkULib2D_!93@|O zRnS-ktI@#uNn%e{kdZiqL%>aJY_O5r+Z=Idc{YnGJx7o+c46zStZ**l>N7SYvoFc1 zjZ0Q(%Zb4)+zcc0X2oCZg;$G)h%Ccjl6l2pHP+e$n$H@!#)y**JY;K*Lf*LlYCwvI zVe8WNyJX<F9eiVUzJ1Zob zu{Nox*_)+fn5o12O>Y@$^@pQu4mk$P<|<-oBkH=|=Yci-jzzgMzEi`9Yv$K%iNI*y zY>#a}n=_B1<6g^$Ff;N>?Gs?=hDGO8jNz(wgU?G0pJt<#(fazI+DJ{XF^ZS5+ZG$m zf9tq69e`H4ATF@M#Vg~o)l!k7fB;mB;pAx0IfhNrXx8-H2dy05)45d3X=wTnC7O3M zYqlSir8sOZTurN`XH;xj1r|vbrf4`8jzP>#yfkGHh(I8!5u8>vHuxM@7nhcl7j6Fe zrk*I}#75z%ozTeuPcNIEQxd+E{!zOia0HvBGkn9ES6ug=P9R^Le3#DM0(79KqmKCe zP2(i*J*@Fiz{>Nuv=~`Flewa2U-VbSBV9UXxe^Xri6Sid%V>Qfa6*!+13jOs^wlH+ zVPS!V!)*2`VSPNGAT5=0f?3C-V79hR0z<$`Ba)jsD4=<=vY;xvN0YW%n!tZ!_A>ju zRQWVIiUXfuP70U;ge@V{C0y4m;M7mZnX={V1VtQi;17%4v!~;;1yUO&yU^|IkC~J| zPjKLK(VQk}Xj)80XiVBiMzB2>@};C+9mnDpn}5%rX_an!nOpv=C->{kd!8eLBBx;0 z$>r8Wq1CU>t;=XbLLEN-j+hPQ@5mYXkybr4x`s8d2El4f z2ZJ8)-h>PcUb(ARVehSuYNztC`C=I=o3WMg!ocbjBG2UzH%SJcGHUyX)vHgRY(@Jr zABHi0F?V2Szekt}?2iE9h{MCrcdGN<96*I=zj4GTOsdg>b69H<`OPr3g;}BOldXK0 z1CO-x?3tuNv$!Sx?pB}j45PE{WORoV4{Nl&-gF@V^Q-1>bj=|8?)xHH$`G}ej1JUW zD2%cBBAu#QWQvK$$|P#n2d|df2`iRb6~!&2>OU>sB)ScjtCgt-)W)75J>@86St!gpMq6%QY5Y1WXM^3Y%DMv+5!%zBK>%uC5cf z(B4uct`dA!r+H)gjEK{tBj4^|59Wp&?}7Fk3!Q(h(#c%aKbj1*xmST{+KyZKUcFsz zroZ@I+#U`XN;z_!XU5H2n0zfer@Pi7SDPAKVbvQl3c#D;3jdsxiM^kOde1Z{RGluL z%S>jyql;!+8wUw9)-Ddy4LpO(kJ%DtewtNqRcAalRfU0V21`6X^R*V~LNExBdwHn5 z%MBMFE=+kS+6dN#%{wx)r`0(Dc7f`HwOiZ>Kny%)&lCj%C(e<5Q6k z^bGp?XFX)i<;dDov_c%taW#zL2-=f%sXx(rx-9TBAYk?Q4ZDUFU-7K0RNKA8qQYXc zsWOtF0DyaHh=&OyOv{_>DTo;nnCGCB6y-N^{|E;t|Cs}Yaq4czi0mZOPE&U?U8j1<>Yi6S;`Ji&DvYlFW+T%KWO(&o zP15|UOT1HwoMn_Kmh}EYPqW(Gne^1r;_=z-q)gEBrdexh25pJp)d=|vFtf%_n2W=C zt`M&AuP(1HM1mN=20_6c`j8 z@w=wP*2z7hd}i8m8B)d}X{9JU+BYu(weeMDs5{w@WTozq=G4e*H$FOlQ*pDt>tfR# z&FOVHAEho%G1$s_Dy*(AXAN9fZR{4T?a#!*BQE=@g7tas9UHLS>YrypW;gjDdjnPv zOvyC7RkT?egX7l*0D`=aA-PVR!}Cw0SyINQ>n%HSB8r;72ufZ5-qr6r!UWu+i2;PG zOiYOMzlW@A&ika|%a$#%oGi)3J8xgQO%z&G7(ym$>>RDetUVI0y8o~li!=sXEPizS z;blMjOBjSwO9<`bqIK>}sm%EIg~r*yOs%4}pTB&VO4CPL_!HS*mdfoca3>NK6LmR( zJzA7o%-4OgfbVH%Y^MR-&89$VYWjE$G1V%uw}ip8c6I@=b`9Aea#?j7<)6Wtqxu+R z)jy<8%_j;od)E>CF4Z&A3*0z62u!-q+nu}^Ryf$HV7-+OSLt4F`UyFaqFed-higmz3 z_uunF8)zzh%q$&+78&Ns0Jj-DEVQTNIO)`yx=Q&6>73pgRzeg`8j!6iK0IaCZZLVw ze(Ueh@#(R3U3PsL30z1F{+dHbnE5jSx@&MMnOVVz`d3uPN)kP|F^PQ8d6dkXS9xBM zeo6MF^^^sh7ye6^KSqBAhp7}ZIDcqR=h-H1HzV1SI$5)M)=1#{9Jx1osZQWVnH{vG zJg2mSxZ9t6yQd3fh=`0@a1(6nE1>9=Y)qW_uR)HqQYO0>aA z%eB0*Amn|wyTNQg$h7uzErO=Z>j=9QwWc07nYmoEBA!#&sjy;UzU$Fl@!7}bK57|~ zc3_^R7VrrsAfg`|T6|TKpYJ>CpSSty72uUZ^xBK4!BSx{{(fD*_K&fJ7S$kIj4_cF zAbNZDD%4}6RlXi4Tl`AHW1%JJKTWo`!~h$*_xW; z5wuCHYS!%afN;nF&IX0?tGte(@tcZ0Ca*7sC;hr$=p-^e(Yb{T_Ma1`)gom+##1P; zI>n;CxW4+};RqmI4|!230zQLRrVo-MD-;s|LDR{@G10gF{je-kR?(WUO}B!H&t5{v zQ@q$qfS0K7t02DWBta}#`O#m*+=*0K+2mLYs&#nm*w)X1bwG^@!b*Ya>^h}&Pf><9 zB{2OY;c$rxG8z_u88q14#rU?u22ipB)aQnO9J*Abz)C9Ev|LtdglXX3@a*m1+;vR( z)rxa`{@jNX9+bBO@#r;CI;^}QzJfklObjIq`Q>^Y!*yZS=_C*K!J<{4h`?o9QM*t> zORb4b3?{fNV%l7NEQ=m-gOfV+##9AgehPgX15n6Bq%lX?2r9b$eLI<6X-JOPL+t%r zoo`4675$rFf|sdiABE!B<#$*I{80?%0Pf(#`6dQ$mP%E@X084E9+cyfkk6ye{O3k1 zqzLZ4iUx&m2pp1^Y-dgCGqfKY_utl3!OW~=b91-sV12EH(Hzq>@8gFM6 zG&O67Rd)D4H=b2Go>$%NOSQv{Jq>78Tl;_7a~|rngxM%o921fQqgd3fxRxHZoCV+k zf@^Igz_!1_u}uW)$+ATu)KU`K9OZ+uPYvK2k!(obC;;`wS^h3Mos)Ca+7{AL1rjq$ z!v|I;#<`-6+7m@{@XthL#+6W~=7H7W?@^E0aAnF^s>-qS7UdindwAS1!+%qg=4> zKZIksKNnATSi8fL-qGg*OwU>JE*o9*1H6-~7r-QDvSf%x;9g0MQFP@xP{--`;+`oE+ z<+O^=?`LFn2tt5Vn=@~ahAM$2SWN{9e;|pOlQmCS_*)UeJNKxQaUvF9qb`0V8%Ar* zg9P!GzP^AGW)>>h7#xQ$hPqffJ^*bjK;yMeCBw4RnKwvh3m6b?{%EeseQ24+-UJnC>GTY!_M#gjB^Tw+1RBe^ty+yI3FnYUum znZ{FyEM(|HR*nUUiFp9@RtGkIG<+~B#7hO`CqMzLysCPcYEgp#t!D`)fHJwP;xr@q zji9DhHBuT)6xwr({$FwQU#9rI@Q!s0$x9c!>1 zFOr_%?|bm3Vie>i2=DEQ@0-$_%=VX8e;#;WC@}_qVXhqy>A3zYHQ5T7KO+)n>}_4= zLuy8RZ&nzw>$O?v#3J5r%&RYXfU_xkuxU3&&}HYgsmlGzO(>2?xDyQ z6cFVTh|62ay(M6oC#kYR6D_e8T$UiYi2#y%c;Q@Y)#W;j_I0Up9j;5(5% zZH%qvwvU+EiO`qC;XjlwXhpP}otS_tu5(RCY~+$?6vC%^BQfPD*q^mxXun3U{>COp z2Ihg9mTul<@2D0zCeoE(m)BojSg+PA|BBl+9qQY%`r^ye!Q!hXdC=S5tb;v9as+KV zT|d(91H(86<{^}&^>jpd&ARx_u3b}?1M6}Qh8v06=wv)v?ouVWXB|T%9+Qt(Am=Hr zQt+qbVkZ?8GcS3E`5}fSH#=a_J|+>u`O?s`#vOY?eh@dWkL^sp%cyzt03P37gJd60 z4d|gs>Kml!jHQk|z?hCf_-lZ>yTSQIWHy2dJ6Y5xk~NX+d{_O=n`!t&j1F=Q+c$#} zAJG{aMw0-ip2>e%+hbTv)Dw4JY5F#%cJ=XGAYt2woFPJnE1g+ zV&(Di)&YU-O38|!C_)}wx}-A3^musUKlP*jBiWS>eroMAxl950 zUie8$U!*H6nYG@{n8FIIHWhec^EG`4TWPS4U8~ZPdqQR6`1MJ=Elm`@mtU3>q|~U6 zPi`#LPt&SOpdKXN9y&hgzGQO6f(5zxGNz{XlsV>sp>p9aqy86=0J?i11-+~%qUjqE zBJMZnbVmG1O+UUJdPmY}q$ohZ7<@PU2`rmf<-F_O{u8c(kke0V4-S3I5f(r_1%Zq!^6aaBc0Y~=a!6|YC>wG#Wl z;x6i~F2|u#?r#A~>T_jnYmOcFI*oBT7GaaPIt~w3n`{5wwTx-ro_3P81RoyC%vhN@HOAg}R z)D;A7#F~1o(F?h-00%d4ld{g21U%+h2jzOWrm*r z1@%EhVXp->RnfjvPe%zf*!`hJ?7mgFcwr8);h*ZvFVHKTU1=@_{EV{{hp1X$CU9Yu z!!Jw0kmS(w)Gy#Re5TXMWK_0ettu?cE=tPicP*>UNhwE)Mj#$i3nn-eudyp*t6cRP z9mV1oh-TGpu7Zgz&A zvDBJV`pVXnYhIQ9v-M8``dchs)MbLVsK5w^sKsU5s*G#MR~WgVz)1J6i`Mh;RC_;a zlIHE4KG4XoT!-g@Dp|yblJ2P&9ZruJ`}E^hcafXVTIKL7zs`I#0*mFZ9Gb+tukY2Z z`7xsvW{$Dm7*kHwp6|-P7%ElVbMb+pv%%AbX0MZylGuaXB-TQ1OH;%$_dmMbjCU$W zQlb|NuQ}FYwP~mBd=giO^&GO)6Mv*0OXq=xx7Ua{KKxT0lp$oW8f`<4APCnL6F(DJ=PS&syI_=%1BD zUmCgt6Whz=r;rDH(NtPOjkb10p9S!(OXqHPH&e=Ntt%6-ZmKZirlVtdxXRETc zQPxz)0J*p0{TQ(L;vHKmgl2B@I~qd1lQ$`Qz2Fu?b2WCwmx6_9N%dKHe?sww&L!Dl z1MrdU5$6%niTTds;g|)y(T;u*+nnaJx2=x`1lo|=8i5R&Xiw+Q zurS1WtM-yia3j~%^vpDvHbbY4$+3dF?2agj`yJl%5rweAqBCe0#Kf_s$O>Ll&{OFe z5=R;jGQe7)TdBjhOSH!M25ZwbHIrrCDPN<+jU7;YzdUGRZ^=2k53PQhdpXW%fpDXC zmjZW&MDhv1-rwDRW`F#qI#ZD8PkA;S93KA}N+NdukkzJ%K&K<}%9M7@#@;WX^dZ=_ zsR{rZBiA;T2*wXsUa&@p4>1nmS_NSd4ZDC(n{0 zI?f(jFkys78DmaueGR9QWYW2%(7mZIXQ7(9b@8Ls+To#TuO#A~UL-2x^TM zISciis70MeSUHm7^v^=#mM**jvtxWe6R-H=Pu#3Le^*PW;sZ+Ww2V978m-9>6iy6J zFq3!}${VJuQ_RGSZukZwKXy^U$H4-s3RSn}UlgSa3>YW%XTgg8N*5io9xw3bcK?JN zrVWQZc4HdP))KNwtc0w`{HHr7ZE`3?Z`|ZAUP;&u4CdZ&6emcO8xf5ruSJ>`jblPR! zU1~e_Dw5mN)!N`I7mfJi;}XAC{RG2()B<2JqgDKVlr5fQyPy7e8#idrTJK(bBsf~m zv<0`&HLG7k2D+V|zdwkVJzETEiyA2yUn?j8)g)>h!0kPx0f5?eesAOGpJ`_Vg2~o> z#;M74Tjb+MXG_t3<3W#KLqa+`JNfNNg?R2JK5gW`UVD@Lr4TLIV~a#wY`w^ma|#;D zz$xk&=gatg=y<#0=?Q}L+q}?cOU~GE8fg;lof;b(JGxocp2+|M2PH3rC^6mXq>y}8 zKIrG{71^FBuEpEVudN8-Nk=Z|N&``!T{$|_SKbFYSb@b%V6auvCLn)xYdwU0%kW_c z9$*>OVsoGEus7N4m%6n|zx4g)@2*tXA#+F-agSQ1ayiefwT-`Vy{(U<1Y)8tZI#fE zs*M|4!M}|5+;k~V$LDT!*SoqM2ihG+Yn{xNZ*{c;A#0R~0qL;~Pf|Jtn@>&j#&=ux zmq{kKf?Ll-YpaY?gF$v$mm)FOvThZ>xaiCEVUQ9hqeui16IR?E#x!jSVts75+-z!m z5+@>6bL67z3!5EdX?XNDoI;vNz6!PKURRE9tJOwp1~%ztM_c42zfo72i-YjzY^#K1 zGwk)tOIMFYU36oQ+ldbNo-|tEDeVNYwK|+D1-KW92?=5$&z7=p_|}hA>|aog-(u`8 zvY8#)7BH9@RmEkUD>o0}2O>=t#1vdpIdM@gWiJj1%Hsu>K zfsAWq{=R6Z7I4F--9!d*AnC`kUsDyUTN_-&969~-$kvgsoC0ZVzwv>&sVS(Zb0@xk z?7G#kU9LqdP_9oJ*P!{bF?d{$DU3W0%)E>pquo9b>}G)g*lLhWQ3p#w+q%sdBBg4^ zVIP0Zb$N5N5Ja_4lRg=Lmwlkd`CH#mug##Lpum*c@6}qduNsl4spA$I>#ilI;if>G zp_-QCt27OVK`=0sTp#*j>^s8lNr)~v8!mpb$jVi>qs}iBrlI4KO4qoQE zP^m0dT0co6kMkr69o0vx^y3JVEwjzeYD+e68~+qL=8M3fd4f|kKkTb4{r98r1g3`k z`mD=DwT~M8_&;VA!D9;l2WM{?6j#u73l9*21-Ibt?m9rQ;O_43?vMnRV8JZ}cXti$ z?l!^QT?W2Mp7;6QpSSMqA5%4Fs(McM>9c$H+H0>}AuYg_fj7E@kh!nxt-OnXegc1fI zWnhsc;AWrYp)oTznU*p^o9)6DPP+JLwsJNbSxSeLtO{qL#5E383kA)QvsMUC=MBRt z0ppzc^5Nax^QxMpJphrG)Q?;E#Jw^vZ^p~i1(<2&21tuW`)S1)iQ(M!<3!gi2OrNX z*A^U-s8&BuDIK7#If)ZXPr7|cBtx%y_5=RcuA$1~84p>DhH_De_e)$#%f!ezQsjht zpbQig`S}k8WoQMrTJlU^H>WrIG@2g^P*KfEpPA<9~keAiUS(g~G2+IcrbC zm`|~YwD%Tmff2W3uMU!_t)5<2_oEu$nkSHt6m;5iGh$lOqpu(5PmyAiaByJ#THVhg z@dv4}0DpSj+wyYMuR!L06(zNP*?fA}mTGRK;0%Yt+hjX|m5q9Z8=ArOeZu4{Mpk(w zM)mxAD;Rb9ncdCVT6a@JA=d@9g3)|-JShCEfuGXgmn|q#nw{BhX{m~x#%#%rzvflzG5&xPbBw#!Cy>2C(T6o!gpwV}EuAjFoy>VpkmHXiRw9?;r#RYl8gul&`C_Tkk8 z3CE1-$ap?oMnH_zEOiF>p!=0x-QY_I1b#(3yJoo-m{H3YLDyb62VamI_Xe3UAp;_J zw!bO|M=E2fPfJ%q_F*M+EbzzQB$R=0u4!}Yn{&(eAeKiK!Zdc(#@3W>IaqQD5DT)1 zGFHr8MOC{4c~yw2!}|B~!b>pG-yGo^-^70ZSB^&W_`pix6yPsQbNj-QfeQ4fN1PmOA@s;byeEL&0Cn7ed5-;<`O7_d=YG8{bl4^QP^X zC@}u(iStk4->hLYi-R7j6_tC`Cy>;&p^+mSWcBj%a{Y5?Q&;us!2W4%$nHc2Qb=}qVUQtcv?GO>5SY7@VH7~Mc% zEX#JNQ0dI>KdfPq>rKy~^I~S{ztRGGaO+YYFQTIm?naO#(9*E^k(QD>h6SruCGWI~ zsNr#0>?ul1k>7pui9s>YBUdY7ho`VWrC4J%=6SN?>Vp|BJQ5#YrvpmafM;oS3{X+5 zwyV9qazGgwNEUC#d~OiK^oyO}ZU{YoZAp;^l_X#fQxSg9VLV($qvL@-a)_7t-aa<7 zV>}_AGH{SPQfpnA#Pmwa`0A13*%A0mNm(1)A-(8MM~;*x!-@3 z%W#xI$WSVr_vsMTfc)>13BYz$wA{zZB!MuE{!s|#+;Yk&DSQH={*De$69$3q#{YTl zY%2tgIUqQ0Nl|g-?L-;OWU(>C@?)o9AhcjN{-oM@#1M7cgKBTMcKe%yVT+aLC>fik zNS}6wZ<1~9X0sVWOG~x$?e}AKEa9v9-$(YCNzF&-vR7Nw3k))yipiH4AhlA9j$J=d zA%k=lMR(Bc546tpLbj`&(pi>#alZfy$LY2&y%uiRpa#E}V z`o|SZa?Y0q>k8Nu-u8O_F1Q<{p8rWuTukde;x{!XDcJdHyAh0)tqt5M!&bV@<+786 z0R*X^eWK*?%k-8m{>tPkoug`~$*o>7S$&j#FNT$#B_JH+I-cNCvS) zlqz)SvPOw}6Dgb7b>8XF8?NK*5|H;{*@7`whhqDpJrL2)+`RBul8 zQJ9Hp`s4Y5UdYHgG6!W`YBGWfSm;oI1Te$?e)-eqiGGqOJhEul|}T_91j@UXa(dSDxJxu)Dx-v_6j6S z*mP7c$lGv|vZ0Os0WRQnSR~IZ-vow5155zmm*6*Zx6(zV&&W!d%JHNSN)?JZTXzbUN1G9cV{zgg(~0`PrLL{ zH?VSx{eTu%!Gbj#3FR}2?)_tJXCbNI=tzH5N=57IMqNW_V>3jEBc=Y7)A-Pd>RUzB z4a$b*k??tc*wHhQK9ccp%+#TN1<`!Q%ELE_;AWB#?dR;B8f}ls`|dp&MzY17-;r0$ z{`S9WUBAi+ObBJG^j&(nD+%6#W6ct7h(zY8GABk5pn~pm^&GMxqx%rGnIM;u0f?HB z^+MlZfhv^TOJto)1h6`7(&3Pyv>6>TbpbQg;#9~uyCSmS?v5)S}iI{v^i2MG%{bEn0wK4OSwMt|3c z8qxs%Kp0_uGN$&f0y!qUIlwLuo3e;T-jsDlnxg8BQuLW+ne7|5G4EhpxfqO>j0vhr zOSitx)%NZ#b~?Q~3A3ea>p*1Qr1CETQ@sq%8^b1lNRos>gC~m`&l?$Xajg@12f#v< z@MAcLwN_Pdao-E=SSadW`L5^QV8R#z9Sq2?dEms)fdhz31K5U{29ra_G5s8h zjEn-%1_MIqVE~+^AFxG+!U(xzB@=gdExw`wXyjUm$vi2a}~X05;I&zlCw-Y?XVR; z#!!eX^bX*3_v0iFbqe9~sOy@gGPwR66o zH`5-WGHY?$ksuRl-yRq+?sPu*nOV&rTOC`64#2ui`5YKKLTm9`4yH(c)~4AtQKn$e zg1y{+6a};ric@W!MPrwIppn^I)M(GZ_Yo{kgs4?=qK6~mx~mK#v9qOP;PC}z1eCg{ zp}9n|)ALh-c-*7xrwN4!^veagWxL^ETZ{o5Pi1tpsPBUcnXG?pL3jo5%xLk2;~CJr zo_3;#Kx=_$r372(mlsaJ(Dq&plumJ3KwD>LOJ>gt1@$+zT{?28B3>B4*^-|9Bw}jy zw(_C26(GNyHuS$!$i>Vv4&$ky%GV*X8_@7|sB7rgGQ-%5tHoKf1Ux!+fwqvaE>3lg z+%|R@G9LoCk{-8@2J`e3;M(S9TqowRaOP8@hFBDowW|zdzyHjCzTVHSnze&JsL}^i%YYm#-N}eXt9Yyqh6t?SU z`qs1#V(m1QGubG`M711MkG_qv{Nj><0_;P!7ho8zHc^EX5sAwlNm(piGVQQ@{%*fZ z2HK|s^c58Bx%@l~>fbu}h)jhan}K`u4v?i*zv4zbxR3M>8Y+h+wO}K9#hV|JeZhUY zVu<@+i+EF%6>#3-UOP~i=wn%W?st8jU?t=^2ACHTD;n2-W&UXuy74<@Q1<@h(o<&V z&}}NMsl%{xGK%H0eRyA_A`yjQ>XrwAfei4=CT3Nb@Sq~q+s*m;6~-OX;85|fGDd$b zv?F<}$E+PaomhotD4#FWl)`Nzx-7p_v+>a&-F}>Y1-5=5;`8XgQ+58~K*Ov@q}@p! z4TvvjsBG4)QDvZy8Q9&IF|#TlXOJ?M*{6SnIZ~;~7oZv3^El!6_44i=+UR{JLDyI{ z68l`??_&Y8{+R2LQbAV>{BW8b9){m__x_;XZY8yL`?1KEKHLv_)WbRIF6M`=@QH{w zg6&-JGSipmLrxMvH%nZ$)>O+g^d(P#S`}F)bSN02Jsqo9wbt&vJ(0EAmw=(kv$<>*;&j6@|@8FYE%`Vlc>|JS)rNXO>sB-UP<9J?55b)DzAuB?*gNU>XK zu5C*HI>+%Qqtjfm+=gxHScv^a2c%qJ|7C)$!pEk3=IrCa-rgSJs`U~<1+kjpeJVdy zr9sM!HRu;D3uwIb#p|ghyFw>CT)aTmV*(M_u^Xixn4t0Q9X!0Zx^7q%;;YMNtS5)L zW_hmfh*t_sBiQuFiS5sdjBA6pr{Fp<<^UKfu8J$`IKO>1=)lmXTgT4IP z-vM+Tbx$GDud8IUEOIntd}4CFcm?dXnz_wP(|)S?`ddmKmZsG?fp#sz)VJm zQdN346N8D-!%*A^;;*;Lg$HQ1|B?zADbRd-x3_WMHte(rLv4*4Zu3l4`Q~ z{F~lj*uNqn2Ov14zq&_9xtCbgAN&%fxpF5JrRn^wp`xx8v8#159>UCbQ+^mo1V|>f6LcI0&OwYlP(Hjk|H)kZkb`sE6_X7TvEC6 zd9YO9g1tF71)R51_p!;PtmA>1WD%x2+%!~TzKiWJ-nH!edD}h`S+uh{K^PNgA9K>^ zQfBZ%#pD}`MyrDC`OOcjQOyORf+B?oCtVsg;y>jjjsZUK$yGMz=WFaMo#(|h9dl7C zqIPQ(eKDpMKkADbtX&urzeT{X!vtuH>1CS%yn6&t{QWf89 zbbG(sAHfWKKgiV+L2bN^ep>F69MhfAPQ6=M>#%Xn;6tKminHtpFf{-pg#7hmY}&c; zE%XiUtrNEvCXs=dkO_PfU1#POuRN~z_iOr$-gW-+`8Ji+HC%?_OYv4R4}DBB`ra)Q ztpWYxph8+%3FE%~GZ+82`{#|BpDVsgQ`zY*zY>&auEX0$(2sV~WX*U#Eubg^SpBXT z)$#5uZNqd5=ZSgTjTq9`aT$ike?e?L=)juahUp`jp&+?e-X4oXPR`5na1nX?X2_IP z(r6wGX5(5hzk>PZjPn&IB3S_y6k?1_LLSlj3%eQreKv<*5Hx&$12kgN2+L4yLp4Kr z!Y3M1hUi=4JNIJ$&oV0S7JUnv#d)PlLzfkJjo(44Ts9l@9aky050rJZ z@L8+k7Es-|kMDnBt7yU6y91HUPGSCyT%bK6ASTHas1)3U|LyUOON~BBvOqb%aURQN z?j5=@%S%_g&faZ z0?y-EVD|4nDN)oP^m&5fI1&kb@FCw{vpDa8u{SS``L<${d6{2z?#aZ$Zcp!;UXvwn zxt*4V*uv$NK%-6+BifGX`HCRtOA~W# zVFAOGTqHsmlSjzV4&t*{->XI3>IJazl0ovs?KKYFF-uQ|732E0YApg;aNO8VI1P>FLTc|41y}!l znp#mqMX(d|#3|)`W^wJQA_5tiM{ENCMYx_Km{)jBc{KN~hEZ90ZTS}SCn$1?kywDj zN_p^VDZk@}-1yViW2GST&&1}4QY-f<*c6^!l)(?WA8nv_moVW(!%r(9Btw>%QQ8qk z^b6DRBj1?6&(?+#@+$9E6Ej*JSpS6&J&!!5KXpg1m(NaJ1C}1>SsD0lhIhqgX`*-C3JH6eX5l^aB~lnQkY-Xjp+2hDYPstoHpIj$hnF zhekW*JK1_saWQ`{8>?h55>!Rs#CfVHMD{VlaL9gy+8#lbhk*p5$O>!^-cM+Gye$1D zt6<<~nAG#V+&N<&hsfZq5Q&B#)>xafbqtDbzZOi!%Sf)szosJ zo%2N0RVxD2Z(Ca~8WlDBBB`0Rgc;jMnwUs}c`f0Lqtd4HcS&{&4))Zq`^|%>d}B}3g&TbqBN$MR9J5t3@_SP% z1lnEm7y(u>%f1gw*-Q*=bQdlGFl z6==$fg=IaD(HUj;-17wn9|eb-U>y3%tX1!qGz957S@HoyCe8QR`JlTNw+_4(gA>}V zY~=v}ty>|8NZ2E`Fl|;3ALVGxN$BJxo1Q{z7Vm=X>$Iq7W-J6-=$2q(yy`vs$?Nmb z@GU&cwP9xc-AWmYZ zgX36mJ*4UMqbzguAp`}_u``x};I7<;hhjrH9kwt<_)V;R8u6!z_mKKq#)2Lf$4B6C zycfYHo%KM^iCZ`pE|a&7GPc7OQPJ=?T#EoGh^VcGGD6z&qaYa>`KJKx*~8tmR)y#0 z&#B^2W$E7?;!nnCCyCYZi(reeULGv8I_^suNM>uVydfR@q!k{$oi%kHT3`{#ID46= zDy|Mz6n`o2>o#R5)vVz*yXIZ+MAfkU%$2v0YrD^Uij_+_gbujjwx53Il)ryrzN3Sh z3Jr)qSFmew;B)Gq9z}wj{}~-)HO6(yfDlG0Ak>xz#)6vygO(z>JcEmnl;0I=H6-$_@H3Nq0pBFO~WL&DSFkL=T-N8hMKj^_z@N}zbBTwz_VWWasL z-I73H-v0gGk9$Ry!lXups!Cuzw(a-7WZHkm_AOJr%;yU&4W*=x0Pm>h*-Bnw;dhr~ zi>`A9iQC8Z!PEsft7!a5>`la8`1HJ>-3lq~%>klJE$%Egx)2>Vn%9QE&VF9abgb zImA42d{xJo0(`8#Hm~nMls9}}KEzCHp(RWs{jrBn$vB6cg!8tVgRR|(jNr&91*TDq zM8$~_?)i}b-*9rwF-@9aJ=W`~f*;beLYP=ug0J@$W@g4{HlDFq1!cOpE4*PB%-|-w zi&@(wwLCsU=60&;58_{E?{-Q`)2O6eaWjE+Rd;4|X{#hc)i3k>P8*z`a;@3v3cr4( za(k!zgjy@hn*wLbtO`M1{=)@;0-SVS-|eO$0{{#;)z@_n4$8&yd)s%N!A?0unYXLi z%!iLP3oJ*Rr=ZGipOXYRUIffiZUteNwz#;(C`tj;Rd=$KxW!Rh1@pZ$>JjOsp9UVh z2N!wdLT?=bxDgHmLX5|N(73X~Wa0K67%#SUPjg!?^fAe1~L4U>%AIcHs! z2UWJArJxGa&chds{n@z<4PJ@1^pEN8-k{?kJls!ZsFC-G;s7;z*>AiUV^q<&kM+MTUK&qJ6D3Rm?2r|4r_rh5@5}&CY?oGj{2O+(ENmv%g7~dZ>MfdQt|?Rr%Nm;!lz+eunabR~oqfd5(5ii56La`#ZN*42 zvbfeqCrMbKLdO?E$4qA)_Ub^#*jTK8-U8sEr`g20E*Vdky`+Ebn$HbN>X~4EucS5~ zf~4urR0Z8_h`VD=YP4UVcC?%QLxokm!GA2ad&HFE!Ox1m3ZdHZckxWJg$$?hCm{g9 zYac1Xg+T(4x}=E&(Uzt6mY^Myc^_n_z>FlKoX4|&*YbsWAM%4bU#V30uq+f{yLT1q z>Z@U=ED4XXp9iP4blz9J>wtB*D_0nb+P5>=|4PBZgQ0T3Br(bp`dm&wbj2p~g*+y8 zdOAIg#tg+`v$W+lYdq{5Qh8mp`2p|JsV`7UJ#*mdbm>`P$m!^50Q@B$yV$UTRr(h6 zuuD|!YjHMTpu!jp4IC1P%&cuED8#$T0xPsOLS^C?uq#?0Hp4d{s-HkxM<3bXCGu>v zpVnnIrad6k^`(>X35i~da_zZX*rtm=TllkjoTAjfIQblY5*5_!)a|#=fmyLG84?Mdbp`w!l43iqlV7D!FZWO~j1Ai0VwSyFKYNo~!wI$eVFXgLHPdGb#J z$l3-brD~PX(mtnYlp_(^S_Zc+GJkM6*7%b@3$V0gj#J!uYQhBRn{f-|s>rttqBD~@ zgxbo+z9)W`gWvBTu5FV*6?Q^HKRY!y_n*v=z)WB(^gDn9VJTZY>|BW{zNeFVSb!La zV)>PNWt+-kNTWpp7=8@t;Y9)zKdF}c9&gq)RXMo5`#W#ovOmQCIjJb0esRCn!!CWpYY0XfdwYP>P3~O4IiQOZ55a-R!es$JdxXG&Cw$888 zW#3!}>8*|m?S541fzQ#b02iuCN$cb{GqQS}yC#R{^S76-$d3OxjKY`lHHR~ z3iu`aB@J|WtWRLZIZ6ry@)zOwu*rRo-5mzW`4_>GjV0|;F>u7H^^6N@z7ku2iEOju z{5?zhQcH#fvzsnkKxUGGvvYN@q6x&Cej0{vdA5{fCp|@_J~6{qD$Gx3i$#mEhJHVOQygx3^x*uVdW1($SKqXm3cQrdj8?aS^3J5sZ~D0pzb! zUkey#{5mSLu6elcva4<@s>PFRlfo12WpS3RN=`}MnA7_VTdF?8ozvVq!=Wbv&m%nl zG%kNj|4FO*T)~Ji5iKDH!&x(MeR9u2rxUuYRAPA9j$H0Pr`a2pZ~p+B+bJ(Zt$m=0 z6c?1_lZp&zgDmKi)Ni~FbQPHgf)v9*gzpv?;=%CC%C8eCO`1AJ^JX@r-zcBDAc|e? zZE?|CA9Gd~=N0(gRUa5%+ajK}fQ7g_f*=A+$AK2N4^`2Gg|iu@`Wog}2vM7blzeWc zP=;6iO@Vdq0ih(V@l**PY7#{$lgF$oImszCt87f~5o8UQo2f0a&_tU<@Uk08aI7@N znL5%+rVSO>{Zce2Nnb=AWw$RA6iMHS9fxO{HGOLEHUDS7lqf>~cSHv{PCJ-n?VdEa zZxL%KmzmX7VKyMpOhy{9<=rTA`Umt!KQk2|&0P-pc#*>Y?=r%JuZb1TvU2|TpPmc~ z$NOzjnexS0N7V;{>Jki3*@RDaxGG~GFGmwRsec*9Ssx($r3}D>-z7;V=1yO1b3?ub zBE?ANO`8?hhi1b)edhfLLfYYld&xafY(#cHlBzWaylXgANQ{q9dYo4@SZM?6Pg2WT zyS!m*b^klPnm|gCdqhCN_Vlpr9fR1 z8`D<6^cUi&d4~Tn;Q#S^|LbY|$Eg1wuHAlmBrq+kS{Bf5l6Ao77xrH4WcsYa?593x5hDOg-`U4pOPuRWxBZ+M<4~jZ;sTem) z)|{BCwo=^PWgk`7NbVQaiK_Hf$!tKuNen}X%%}niuk$53-8sAY{F${_>u&#ZX3Oo- zxjsbH%a#kG@K`ac5hP>_3MX*`)lS{V7uCWO`Y3b-u>L^Q+NUtu&_{y zhNLv2M&F7os^6qWMn#JMdHzbD7o*>RiCVGn+8OSBM zr`UChvS|NPEN!)b8LO&E3Y(F@M^{p^U`icuR@uKA=vV*njTEaBjYx9LbacKfAB`@5l5?IK1Q-W67<@v=7^i2ZA5(lYsum+u({mO2204Vf zj$A%`{n#~mIwBxggF@%;IYMvT|EMdk9ye1!9{dV!)px;S-{!uzIq(L^&F~D zaUFzvXza0-B(F-~qw!xHs4JMrYgOrYJ723R?2=oP3s;ESoF7Jh(F+}YgWfpLD zE%b2{e%TY{3s!wban^Reo0*6lak~k(u>8yyZU`D|9Dez=GY?_7_zzlNSCKF*vcK^= zjrJccqhUiJlKTiW7f*wz+SCz7Z>G~_2<;B9S_2y@N(C&+~evH08g^Y`-K7R_yMx7j5wTjJIB-+!t zwID2`G{FXjsN96T?Sc2)x2s@=SOaaHG~pI+i*iZr)k95-$=LY|0k7-bSc`j<1?uAj zR~}&k&^+LP-S_#K1;;T*FK61YeG5n96bOFW)^wfq3|oB7(!Gss4ok=kAAv2m`2mTD zN#?ummsW%dxhX6);lq8W); z4{~Q-m=s#6Q(n{IU_S`bfmf(w3^Crc36FSfEvd;ic%GpE(FSRhlwWdg`ljUvG(;UX zvXHS$k_{V73Z4MnmXgZyu;s8d){lN3YB9pBe>WnVc6?nZ+s0;NXBF~U!?BPuhM{#Q-g}bp=e1z`oSjDzT(V(@me~BUo*RZ!UX=3@sY&6|*Jke=^Ds>#b>urU(tC$ob zOy3ribrgUL0Pw%7;eAXiD9YcjX?7E?>pJ2+-6l5fVlZ;QjNUE!yu_gCVB12UK73bG z9B{4Ori&x|Zq4L0`Uz z1lv8EE58;xZLdA>E3B0;&Jfb;NQ44woIm)&pRc2<#GBGn$6tjCJApHfFvqRD4B9*z3`Nh(pS1zNDg@l!^eLKp@oS<3$zZ??J{n&8*AQXx(!~ zB063tISDX2^?$Zrhef@;wSx>>Q-BIKG6?%<$>c73e;_o``VYP)G?Q-qf))8hz?r#` zf&z77nzQk8HDzE_TUd4qX!Y?Pwc2a{&8UI+yN*G=}hQJ^(yV`JyCg%|Qm$VE8#wA1S`URR#vk<3jPS4(WH zAgvfx!0pZgf?M_l`|X$AR(V+N6beOBflR;JB&JPn50|**ut{BBHByz9mQvuBcik>P zB;t2K1O__7f%&0-+rV1hr0z|&&&#HVwE;F@OzYRII`zUWdYwwf)7Q$1A6PCh#8Nlv z+BJ~8YN3r-9{0H^NK?vcewm1h+#-q{w4JW>ZC!P}RmkEmbpvAzr8hm=H6SM2OT9l_ zZ_E2p1q~In*Ox~X%zGAAp=k$CW{kpPd`poWcYipI@0`=22t)`Acng6EOZ4>!=wDLI zbxZhz%t}pXC?fT$6x8&a(BTUM01zFqAMZA53xIT1b7LX(%Q^g~4kz3H1s9)G@h1@( zT|LRS`a)r0;o#t4L-H+m{hIt%nrukGENAU{1Fu2}rkY)~nALSRgY&6g3TB85EI+r< zIZe-6&aO`_+6%XV^M^mVQlaF?T;mcP>ZqGf9iR}@5=@ui;6yk zkOBUTQVws%_5pp4)`#|;#)#J^Fwh6#u$u->+ANvTYR{uX78N3b(J;RyIW0%Ul>@Ko zlauTPAA2Sy7Uo$Rd1-893vg2!kK|+!;+-rp06{0!U`A2B*hW3ijjw1JnkJ*yE=862+Paft^e< z0v~6U<$B_PPBytGbw^we_G2c?@mS0pU1NwDj7F~-acBd32x%JmXuC`=VNRL^H2R;i zYzkKEmzBgp?HMAY4?fn(k{h0_ay2hT5w)qb$I#eS zH0uV_V4wwAoEq=Ci-kmI-=lO9TQy^f4BmI&5qn9i66{LZ%6ZUKkWT{u{=88~2Yv`C27_4OJa6<((;;RcK z$5yX{DbCUQ~zi04JkIb{*&Ry|uPjV&PWLedkyUm!EUs&2M44 zk_~`=yO(vY(wC%5E8VUyFi)Nipcy8^-6_n(e)Tr9OFmBg3iI1%KyCI79*6UJ8jS?v z$hX0XPRk?5Hz&h+;;)e`A))}p81hT>ymmWGv>o$#m)b(j2_5ec?&-A25yhr}d$Yj= z{4?Oml(edDTUcYG2kPk=(fkja6yEe<^pPf8D1apo^P2Z9LwEO{Ot&ee`q|v)7A62~ zjCW1zmmNI@j-`fgW=LeL$#NG7K|=ut3F=wIDMK@)nPNqmjg}WDB(o*BEV% zGK7Ux$Nhu1QlIx+nO5$wSOmsc+Qtky3thDM_hC6aciSA}vW)*pm-n+rP=>&uf=>Nm zgKc}E!kdKdCYLyu6$`h{Y5F}{bC{{a*Y3q zePzJARmJ72&|in;gN*Ng(JI}npQL+>0M8&)fR2a&Xjs7@5812z^-J_nuQyOcpF8v_ zcG66AbYr$3Id7hS*6W0_$jEsV_8PTUr$~>Z@r1+iI1l)(|I~wcc}m4!q(9L;`Nl?G z9yu?Cm{J^T#Pe)cJ+m>d5?`b|2@invo~@Q6S3M^(=_YTU^NVW24j~jkko$9^KIk@s zr&7DaqL;dZxMGK{qRnxs-C6XU-na4}xIRxHQR#h%`?Vq^Mq9qYvrABi?*v~{Br(x( zwy7|;=B!EK9FIrpbIYm7HOWhaEW@2Psfc}=XE8uCxdBG#Ithc6XLxvaeTjU332 zysqM)>CwjZw?7N6TNp4Dwmxz{H%o}}o@~O~GE;?>CDa>CKf7bAkMFK-EU-J@#QGh~ z<#j!1c}iN+Q~Ic#z;esKEf;F93xj~VQ_k(fgWFpk9Dx=HDTQlwCdw~n4IVEydZCsv z`_}Tn>D$SII@?$Rk8K9(#uymhQ(s$b9vYxe^52^iZ-~51kH>D=b*NQZIny78%e7u)G{BPmNxlECr@E+BR3Z3s;)X&?+`3#a=`b=q zB%R`Q>uy=w^u2X)J{MwP@=~`WuTt?LAAjwu%8o{LR2JQ7gk#^w z=}addwoU5D6seAv>=9Yi43^7?t3R&6aSW=fzd^Mpf7Au4SkcM8k{G@jysz;Ni*621 z%_7k7@mgR{h?@(+CXrE$WudJ39t$v9NUIX>Yi+U?_m=8qD~Zd8DEr;6k6`@ z&9+<*stJuYo1VW54)$x-@X>n0617ZBcMNMc##LCd)(!hX^kk8+^`H|l7K`@uwpe`E z{Y03qnYpFD2)944a1G~ZN8Yo>tytBFzD=U+zV1-vKV|~q0@i|NI2wU8zaFYDf-z<1 z!>T&)2=LNBX=yHguP{GS{SHMHeQ`9o-Eo4}HyMd@F4l09z?Tz;6{e}~YaMuXb?yGf zt?%NN2Cjs}r|n$k2dEZ1!{N_2Aa#~<=3c_*wg9WP7!?VVZ9oS_sg;B)RPRood^8m& zUsLVdoo)`6-0FuOsR*`F_D8+2uqR<*uF@3k8t-7NqJX-_h%qBfgQuFbU4ox6s}hz- z+jdpSL@G3omI&-H^a0u5g*<42``))M^FE@Beyv~-7O&gaBzaG!hf5#}8d4JtCYh|L z#L7xhlM@fQapZ2C*4FDWKOxe+hAyirVN6g0GmR$Hi$ak_|K^JR%R5t|Sg=CW`dr>F zE&VhsL(qJu@7EcQc3$L@)aDJn`UNewHzsTMcT4=u z%h=72_Do7RTiJUfezKmFK}yfcbt4QJc4+>&XZneAkj^>bc+SdaO!AjAvtx8ZMfjT5 z4l%-K{Io&oORRH^z)3FczDPsE*TElme&6)a=@bxZYTQj~BUpLV+Aqdx3iOto@wYw6qHofC<_bdV;DV_+3BD+8XyFE;)^K? za_?qOK*QMGy%?V!UjEnS*H2%w3m{R0|Hft#U=xJ%93kZ}KEthO>uPH)7hpv;TjyeX z`o|h3l9C3W3F81(6p_dAH<12r#s72x$S8$Pmb+I9g-!|kq+?PIfviVrjd55-!MZxB z0*?bbQxxP#GN}_74B^k>*YKM=mC1yH^J8}ziFvB;>Vn`AyW05LTK(Gmydbc~nq8bM zd3zk`^VZgp&FxdX`0bxKcP!~-Jq|&|H?j=)Z-SZSj7+EN&=0}lX?T%&d)Xya z5@VT}Zi>DPy7x+=Qh)X_G4P%;pU0TS1Usr5Ss;b6gs!9IvM>+b0%;P}w;43J@Y?-%?Qk3PWxrwxNvlQ54=;u6iDmQb=P~k1Qx_J!31>U zq2*_zpSs-{kS4YMCEPJo<%j1>@iX9JW%R3yq3oU~c=7O7w z4XuDlDb!Vgs)dkWPib||Z%C@o#a=!tc1tuA-wxFsa!4FD(a%jR01*8L)3Wp2?}j#S zgAZps$;umdsy2uaslwZNs-WLeE{`Uh7~MlOeQ)~nG-M;h%vcH0KT+pHnUSMp6=Y@2 zrw*luZ+^_FS@>L)>0U$qe4_?;_o==AM)um7U%-(Ev>%YA{ODbudchK1F(avb*dPJw zUI3D&O@za{b-CKK*%cHQ@NjSqxb=*!D|}*;p8j&LFn_@|CU71-_s6rrOZ|W1PK%Hi zzJXhSlxlI?{4^(8h=sqQdvf3pE-*REZ*(hv{%ms_@>EN$Ab{Zw>PP2!l|GjCHrJaR zsn?0vw1eB~J1``|b?Cg;by6#o&7Bl_n(fFmD&A5;I>TR-LuRq?M{ zk*Vj@UBSnn`%kx1fs1!tK9-*aPFUTZF^5x#+ND`+x_%C1t-U2j&`82|1~v~ihuUxd zs}eueHJ%Lj7$TV0j|_|vwlX%s5$L;=6jOxx3&GyDZ;93>HqJlzX$8Mh3cRfmw#ti5 zZoMI&-s}GxDSmJ`c}C*S-VR~b`V_~bYU-u4@QK~YR$?8#4>g1m8yF79iAXWG@tYxQ zc1rcr8{2S1&3BL;McyGY5dHryMx_M&LwyFH8^{$Y*F{&G)jCw{`Q8|c&VuL0?^VdA zY12jD-Rel+THdN>Ei*J5MKtD{N{Vyf;Rq|H3nV)7k4PiWAwQ0NRr2v z{nM|YOe+S7b$B+K@Mh~|T?fWh2?vAhkR%K6u{@HjaiJ#ja7vcB8?Lzz!2rmOIx;x*{T(qqt~k;Esd zYILOpsUuWtYsDAgACkjUh#CtuB~Eq(c*w}cf{UxGoh-<5uxL)RG~PzV;kg9WDF)({ z*t_Rc^`H)))LD6d-0-lM9#9Im6af#N1AJ~bEAE$25XtFI=z}Pg>8~tLBL!`h=)(&mq zrDf%&LQMv}m;zVo>3fo$K=7bYsv6oxeAE8_arTx`b@NZuXz=1t+^x75clYA%6nBcd z6p9xpPH}g4hoZ&Z-Q793+|%d(zW4jRYh~qAPF9jLnau3jzrC{+r^mvrPbQx)#8bRY z4!}s}oVpBQ-1V!~S%|`y?f6g3CY}J$Y>qDK@%v!mjwxzPz<%^2I`z)<90rA5p0jji zm&b0+#G81E@7LaMK!Adc3e2aeGXrhg06*-sPrO|WeCeK_S^_553SQVk(X7$vB!7x% z2zl|C*ORP(2@Gzx?$T3^n|J%U`LSvq~ zwW~qzQS~KQA2vg1}pfCNdIA1W=UdDB`AsY{#)DtUP;RjQ{MP$Uarqsr@j>X($5Sbp5W^zmVwjq9@>B~inTYmn8;Mb zcRedYYTU&wSi?yn-|h_U2@f}rK-B4Ef@Z&u9j?%OTNim_n3YD))9g23+o~_hEtTI` ze9~2^Kq7j=fXmA*&|{XBLjedc)3dFFq4*YFC_@1${Ne_pTMIs9TEzgfV^igaRtF>#{wq78qhg`7lH@ zmb%+@IbX)3h z17V+MlxiACCtl#eBZFE60!KMhT@)Q{eD$t_BImAaDT7oU5?r04yF}vpb0NuJ=V|7* z=sQ%c!gF5|-PpNu!!Q(LWAd1go5C^O$SG2aeE0;dj< zYe8a{#Rl2V;Om@%g3hC#!~VdI#yP~*RZZqyR*XFzJv6^l-5+Mlj776xaWzl(hWXrdjY~w1N|FDp{pZ-H~UU|?J?8ce~&m(>;>muba(G*3b3@B)KwS!C_`28}?%1p`I4KgLC~ zegZK=F1vdAG!DR>Rat|mTYTnBA8B=VSnEXm9%}XV8#+)=ynu(G8hNxa94csmRg2Y{ zkWp{tVAg{~M;C*Bk4nhNPNdN)Xj836jW0ALsYT3a`i3@@N~>@V56FkQ_DIVtKQ0eVRp+AW7u|4sY12fZe$ z@*h7;E$4i*{`5}6$crXTZyxoQ-e+;kg!Vl8UWu3;Wk46G3eAe1St^KrJ> zSXsUj%5R#53`Qg``d^OGYFy+Pq%D0uEMe3+OknN~j9z>CdpT{(SbB>$Wy8(2FjKs| zI8y~Bv?^H2q?+>U7en6a{olDzuf3f4v$-})WCVG6{23W0#xyS9m8H|{rnsG(v7pa! zZTDKMEu0timCS~?tI_o4R`-rSYb0K{zwZlOQ zKulgIcH)j+n+Nag;$(6bgeEAEkY9Jy-m{^^M(Uh2K4RE z_lO;Q5-je`S!Kg~F!0Q-gghtj#QP?tKXWG($6RRdn&P@&dG?3H|FtQfYW&-H5y27u!y%iyIk%O`AYT z5qR{zZYH$0Hohv~vvYgfnr`9#d3sn(r;20{!+4(FH(LT{aS_feRfR5cEKB@fs2O`bJcA_<-a~3}; zxB~W8Z5&{7a;hj-JRMin=BV}K&Jo_$Lzcp^>C@q$q{6-At8h=(S=0l>ILvC zmJC`ouL_lht!?6Z^UK&t&DZlvrWgas@y4Xg#cDmuUI{TdWQEZ26iJ=1Fh4}myMMi9EZ5e1iz)mNM`CY90W2puj(`GN zT%)xH-O%W5;@7QU-0!kQLu^@?Hz|7P4cegwQTfG^MO4AN%E%DciPUe)<|_ldI+RyJ zm!Hw0ubh==0OeEDlB@El>6kZl168#c(VSdyq{*fvbuLC^3=RMjqS!`hIB;pnhHK%v zdG2(c-pi!SI7WW7Hp3S)6*d@B1!cDOywGMz{UaACvpNzcU9iquH$r2TzI7w~T(;es z;99|{3S9!Tn<%Z)iPoVOCnN%(MB`FQ`d8e*;ukZ+?yU86X=5jk(p*3q`PSzxI1WPN z&gnvhgGNlWo80zzW)E!sV$-D?_iU9znnc;_>_#*9HH}*xKqUvW_*e~CqAU8?w#9J5 zUl)JzX&JlS!h)>>OW#Hr$PZL$n16L$X)36B>ZK+lRkAemr)AlUKeH->ve9{EQ;_T8 zwljEi)-G1VmlppxTV}VU-$Z*1DJ`LrT>{p#I98&;ERjZHX=qI3Ncm<7(7;BVI*2gZ zAp|5CmM_)HF^=5Lw!b|HBS}M~VuKwhtN|L@5S=rxLoJS~IdjZVY6!Y0(K#5J;@IR! z(hq^8A9CsJxle!Emz%7%KlhO_B09<1*l)JaNZI;~azGjk%&w*w_R^y-G*%N%)r{|i ziE4+RQf#-R%&xVw1|9sVu-U|(I91=&?pnw-m*Z7x$-TwdcuUdI`xIbqK0@urdvK+p zk^AAVm8un~hyvu#v!#xX0!d9@v`h5tIQfr>mg!j;6K9JR67OE}G%l`WCnhprT`X+< z<0&$>Q2n7qr)-fjd#Dwa%z@nD1R7xoG;eu62BA9xf*vgs0W-|SE+t~J&oV%32y7t$ zub#?qg*ErVC^14d7+Cf?bGTSu_;p7%ZC>>@aDpjZ6P9O*VZ^m!Wqcf%8D)Qtdyt^M zo=l$znXwL$n`F1arpC+&A*>%1LB1qOfK8GU9Rk~3kl2vzwv1FW$g)0|IN_5zc5CE*Ld+o|N%A-`(5hM@*K@i7pyLO)Y7|Zr zO}H0l>@KOyka&syy%d#U@O3t@dpi=vdL#r=HveN~ZWPNd0tGZcI%rY1_q#)$5(XYF zdbpH^eY0Z)zw4#v!1;3%h|{`X&M+^T1Rj{&ob|37v)}$EX6^+tKsK}1AH+pEls6eH zspCNl&yr0H`!0)P*6gQMTS-SDS73~wu3rKFiSLxQ1`QK3#Y#zpTq&B2 zYGT%r zv|wAtik+i(JAuu6(cG3ZAhUHl|4~J}G?h0%?z+V7mO&AIHm?{Wq6GkKQ6QBXq8<<2 z3l7b0-j*bZTv2E~7&8IVl%miGYiOUx&#(tMzUq4yH~6AT_o>JrGX_xn3+KS%)Mo{^ z+9(^iWW5n5etNngL+A4=t|zsq=L%Ut|F?HN?lapCo7Oac{`j$xM>L=P!*N|j@QYO` z`3)s86_kazFxxh}FwJ^APh@22J)@!=oi))Ex@NM&{kpyt&*jsUNwc*}X(-fiawzXv zi&CkE#BNPwgWG90K_)lUPtIK_0fVVw*2tC7LET_fPL%CV1_^AM8}(GqoWp!KFB%pK zJD!FR-iV|7UJ`{NkY$16^l&rqTD#wIA%Tk}o_=82 zZUFc}SX%=R94=_3QDHkxuLIp7Fi=fC`ogWi0--n!{U(fX=FoWJ_M zwEwZ9CQ<8%44}`RpDKVzSg#xFawh?1k%Uv@3swhA`_M{(+0oX8BP|1({e8K9VVh;t zh^)`d=NOQdzpa#^eH`u0mP&JDuyJZZyvXWId6TzvY07f1m4$)H(T`@~D4*BtKNP^a zCNUS6JF17TY7}v&8(A2~w^_OhjT^rYO<=f3AUey=e3YHR0Pj7PTGR=DPi(2wHylQZ z;P3uzcEkc^PfEz*X_c+>y3FJ7v1l4n2^5saSs#QM0G%LMfPemxan*Q|WvXzxAP#A- z3-kD?B25-XEn^%bL2;O#7Dk`ZiwzAf^XqCm1YkX2osvuq14v^f%F;-J$)aw{>X)~S z!p^CJ(rkhdz~xS#Pd2A5R4N_JQt@;w1OQS2UB$9~K;ze8ru$&wayz#*b}bgOfsteWYrw$tzThHx!PLb)^^PCh$ka^@gf<@ClV zAXTi|%Cv}ZN548TMOQ7IvhB<*=#;Usl7YBaE;z8tSD49GD!X14cBaD$sH{Isl!ZZ1 zVyBC8Qy0660>L{khcq>r_wQM||F*-6va5PdW!#Xax9RTkXE3vR&)*A*PXZ~fc;`p- zVn0ykM29O-^b@=h>I>-m)lOU2ob#oP5-5APiqD@Q#k+#VYg+@w$vURK^?RK!7iN^G zFb%S4Om)9_MHI5^?%YK!dVDGKB^XvbPJ?d1pS5OhFE^^Ox0CnFeaT-fW{8lX?mv+r zVv$n?TlAO?VXh;nNLWWn(Hm?m7ZR0he;n^n1eBiWBzlUhI5Kj$yE&zN=r|)Vt*t*-!BJ3wDW2}(nML(Nld{QAwM(U@BwR@Ct8& z*0O2HC79WOd|A)IWxZOl$~yLs9`s^yyUGK zRQAMUMJ2eVtq+k#y!H#c`D#a*6|DlDU%D%1j zDxoGY8K)MC6!iD2<5?#5ommWs(Z#HPz_Niv+d+*BES1(J*A-98{1k2bp7wT11lq5f zs%C)(WY?mpyqV@c&+Yh?%67WEPa}m(CO?JRl6u!V*mgeaLy~{^s=7!p&zk-{;NsD{3C~w z^5B{(Qf9s&f^{jZ`x*i$KqA*KuJQ%tpPO-Tdv^-$GL}Irb~eI!>MIK|r)z4ex8#H9e*y%ga}Sk zzk?|~SyiI?ncC*@0Snmd&Y(oLiF|ogCnm52QKkJ(zAEW)V`(NygTtoKs5obS9#(&z zzP$=@Sr3)6NF<;f7_dpXSC{WbkzY7lWvLr-Z2rGofa-!5Bik1$>( zp@j!!a3(d_p2REtRYX43bK`eSrZ=QB+smgeGN;JB2(B33;q{OtkC=(WsQYaj9^mSf zbG;+90!>{<`I4KEZ;Gv{7YB0ls=IEzx$mV6^TeYKmyz-8_t`x-eQs0j=TRIpk3?r{ z1w_!gFlNeH^hsb!F*$FrbPYRH{K{GD8<-mf`Co6&pGG=(C9>ys>fKPC`MTnD$_;Xh zt&a_C&u%1R6ZQQw+>6|ELuPamv5>4l)%7!Ffo=x0M1hn<=WxjdBybpQ3mFtOK@M)u za8^lv!5JGl1$AA~#vtVp+Oxo#vpoa{dxX?H+m$X%SD)C8akiSZymkeL{)!2;xYF*P zqQzs;xjJ8Ug|d}Tu8}0KqKe+b5@kl=4gMP7`LzQ2;_$eLvYFeR*ugJ`*IG6uSdw$x zsIev*jkQ!=ZZlVey~caldM~EK2lhYJV44k#@2=p8k(EuGZ$wV&>d?u6?i8$)DU81a7yN zgZ*jx`tr#$xw(dm_@fkKeuw+)Qg@sq04yw@;aG*-oaQqB91v!anX<*l$9=@WsMFG8 zJ=uxV*lU1F=GnjLqkPns8Nws)`x_dag*YbzMXOR_m8l9_Q<{V91HB#7aO`yc} zP@1(yj<#KkNhzM`3WwU2vhXp-z3h;!V|r|htduH)s?UWwZQ-D%^=fVcBgfOiKffa2 z@4tAZu5_{JvOUs+8=;r<{-pq_Momy#s@ih)RbCGXs?i+on27oFIfv^dJ7IZ!NW)w^ zRne=wg~kVb{BpNO?xz^4iuU}w@;vMVNPN4svX}B-t@Qe-nVsraM`SZ#6JTxs)v#;G zyjXL%_Eg?gwnH0;Eaj8B)+^dBs!*oG`7+baC+9Q9@kg#zTUD#u%5~0zLJQ*5@r;}P z6G}gkPXQ8Oi0UQ4kU9Vcn8!%cYdzXOxp2Rj?;ql57m~iYTv}ny^c=^ZyauPpkgL+Q zyG&HyEdJ&;=c%v=^$NmDp;jN+x4oLEUgRb@YWnw0-QVydZrt92*EcQxcipMO$Ils^ zj?A0k2m5%56&!VfJyKt;-HY=P*ugsUhdb}HC*%D`hKnVa>>Z!RUk@$%zo9?f{=O~Iea0HKtux)0 z8Dr%&XGp_f7I~rjlWgQZB8FD~`k;kh0lYHftpgX?hrkE3#2*&vE9I4l!dN%CP=0r| ze$wY>L8lgy0O`=r7ju)q2UIcwL3r>7=Rz{}J?4S=P`}CTHgYAjqqFW=V5L_Igm9Ec z5a|BYqzmP9!zMdoZd`R@k--(mTm=5nzZ>z0oM6#jy)j%#k^6@k8qN;S8>Xi<*S}6= z!d+48xWCXEFL%XrX8nh>1U@v(H5>{VDb45zdEENwG4i3KmTI1s9gdMk{qSzv-e;vn0 z!ClJSLGP6OhMS7T*;@6Hze#m8wpJa{ni*S1W>iudqP{o+>7eEQkw`3zB=E)7-t z^cW{~?62?p@mpMtz92!%NTW$Igi?AXg8>E1q#HKzMar_$m{WcBqlxhJc;GvD^*5R{8Bnh} z(Doc{{za{O5L2nKfz>t<(qFW12DTfk?virlO}%@39tg^|l{7d_8zeACuBeZCtna8f zdK`5>r`xkZ(erp_Wn`uwdi*I*oydE(-k5w*u)kZ-7o5J{QO?Uo4vr^P23H1SMCMme zUC}>?_$5<^#5d%zWQ5WGj-O7)V_)X0vhwDmwvadZdo)&y)uO$i=`WQTt<2GkU`REtl)ccGY*QT@A19TqGa>e^IA%{teW!WM) z=FiS}@T@sp3X3nsLOoqkze{W}u|IjPcM!zt{M>F2nrYLhIS?#T61Q@hG--OC=nJcX z&`h9f6Nngg zKWwoQU2<2_u&}m^Wno+CH~1bC&f4O$YwFd)8%njGSARG<9IJlX^7!H`{(^gau)Q@X z_66RxN^>s$4Fr};z%24?X$_&q$^K#1^lkGX>RfV{l-yiM70mso#6{mRcy3+2!6B!D zUaQnMu-dz#B8q+9&9o6dloI#xF}G8<5C^x+gIc@YrjA6^ucuc3-oLJ*{m_^G7I?Yk zms3v~f@bm->9DYx%1Hk^wKpO;%CLiEb-%&pyRf1Uh=nByjcJ~1M_Gw=f?av9_F!)n z#~SvPl{ubWRbukzo~JCrULAin81$v3Bg!yJhsu&!6g_`l#UF9GNbiHFnb5FBlvL*g zx2lDYX?)MO6i(9z?C~Ta`(%7qU*}qxj3^{m4Vd|G9S(y2x~_ggWnvK8qLe(Eo0Gh* z<5v{3DwWiph!m0etB|SW_E3q2xGjLWlZhfN%CK5ce_UW;L4Q_y9`G#2P0h-3R-h}Z)+`+XH5;+kK)B^8_x6 zS16#kW00iAf6DWwlTT)w6NC$srM$YYV3EESuLVU}{>Zsfpw)vcz8W z2!t{EvsSS0kD$27W@_r`iNQT%`$zx6kkCvDY*!TV{ezd6;G0 z_|d$i;y%qGwj0zRwuM5VtN%4wB$s6{)l?*xrumX6(0S11O8~an-ab?^6ETUo{+=F- zkoii>33Ja*gsj9z2r!_ApLA zkib}EI$~&k?rbny^~W{su}T&KynLt%Q9}D759lsN2Ljv){h1RLOynE{`wgZ!igAzW0D0b&==$ujVFUAMzw z&~0()f03MPp4~t*e(VaJg9}*oiFWdhnWC`TewbmUTN6xo=WZM{AMCKGDM(L`=W9G& z^WV&VU7B-Hw$aN z*y^}P?GIHVy!FQ~>>9ZhR#2OLU9doWVFj?Mu3$}D%0W1Z3P4WSwcZ}~9wHYFK!BTR z_2@LoCbtItEka13y1WVETZ+|?1B6T3JS6aLS=e1%z|Z%3duH+5wN0qghJtS-NNxo* z4f$^1K@0$}DYKz*&0tNl<$6j0dM_Wo7$5ohUggWk-IP_7_(TJ-F$bN5XRH7HXMmG} zl?O(6LzD>cF}?{NsMzy&N^O|JD)cb|0=^;w(qQ7eu;pUbYVUv8=YO@(&N=0)ArFZ+ z0=AIH!3|#T5E~AYUVVFvdb~qZ1w@pjB4OcBM4Q+Ad3A?LrN&8x)H{z}2U1wxST}4x44q$MODgAFH$N|^TG&~Bd85JU(RZaih|R7I*{+O z{?h7nGQfddRA_-$juIh9&%p4-_uY7f|KnJx;0y$TELxD8^}V(edB)H;J`S8DL)yd- zdK0v6I><;vv|~sv&?$*ppMLsUmK}QY@gmQN)&uPZgL{QwaqwhZh%TkZnbHv{i2dhu zXxx`rWwfV02&36h+ zeblINs~IaJWa1l@FTk=J8VXdI>gZi7<2W#ra`2NLm+WirYic62^S6XZUNsC3Q!b*i zj%koFt0gwjhM$S4`7ur1gtcMIeyg~*AIuGb6lr>?YM8Em%TMwG;cPMh$qggEZxP%G z{mn-|EKo@zh>XvH0s+P4y97>SB4?v4Pk_Y@r8}E z8Hn+Xw+|buQXL9te)^u%4tXN0MQ$!}2YmCr5)`^Y2K4+#V!s7~DPDA`6m))W=-C!u zu-QIeehe^kf$&41f6w?==_!)Z|DPNwSJAzEg#YL1wiyo)e$U4@_^!@;sgFW_-@WQj zeH5n9-@AWtExRrh=P1N-uXFU>)% zf@eQ8Oi^(tzFRmCL>cxSu9VfT*cN6!c+&%gjW6gA5~$7nWd7{$tTE>PX_{dW-XA}c zxewN*Q?pips7Oh4bZ1RYO=c3xhx`wibn>y4$5u^S&#q5QUw1QRCOoISXE`MdT@hgN zRfuQjs!UAPn!sbWKIxokFcULP0U}llA=|=It0>yu4yaLsto?eV#(^L33zfI^X+Uz| zNoTn`8B)oT9T}J`oGuAd5j-iwaA%#-%u~V-_G_4OK&B+OVE%$hCciy&cf0Lo4!xS?+bmjm-p zCrtM~K0d@AS+i651V?{sGqjK{Z1rw=)g0NpJUU3g918m3FqHkv^$|H%rOo(zFxt6T z3|ad@1k|o*;po9)6!Uy5m?ab`7l}gpFg$TBC1;}-MEOJs#Ubvz;lHjGC!A#*$P7qYpC8;~(}5Th!bHR> z5HW90xUzMN?1Ah8xx}O-FzNWCRD@2e4scGydrv%TgW^(=R|uaQ#}61GF8KuLfLzy5 zMh}-82E(wDm(whjl*nn!AcQ%SsdJ_|kmxHpo-T4G4}ZPekN&M;dpB@eJE(A6=+bj5 zVfdUN3sF34-HEDHf=M%WfJ1}efkY*|CP+7Ci3vog(Uoi$7e=KXmY7)khzDGl7&;cn zr*;@)Cim~)!fD(W*H2kE$w9cB;1;eeO*VL6tg}Vq{}g#tK#m;JwY7x|>4dL3&6Nu_z>Tpq zfqLcPw^CqnNgn*=Vyn%>_l$SQx)AGB(tSSxjsKv9e{cbFaWB;19uGwF-Cs@h4>TP3 zolgs{AkXC2MMtT3d$5aLz12czMQkH%FnL?HImprlTk~k0g$Er>(IS{_M-g@t@vO-H zq*&?`bGrt~RKDylZ#sRjnul2;oj_memQ5YH}11@rgt;q&Ic4X}wHH2R~#GCpWoA>0M z`O$k_bv(D4no$5Y7jqOPM!B$g}a zocdj0l<085qp9W{;Mzz5e+KmTeT0oYVjuJr*Jdx!wZZ|z{? z$;Nb8K>2G9Fj=H*m#WnL_5cm&iQ?9!cb>RZCbZZg~ppbdhheyJKOSQSIR!fPboIreMyk4=t zGrZoHr-pjf8c7NbggjrWE6#Gn8l`L%pWvv~?2I<}1uYw_A%GgPD!@n>%2z)12a#rG zB`Q9{Z=rg1!AQ2W7!{)Kum$T|9^cmV3#XF#Sxz0c|NbAjrkzr#hG=&~%*U<##cuk-FfnXusO**c*aO zz-2|4t}dKx!OpZTO{rr&><9}5NHkaeg3B(`HYlqs6s!0({`kDsl(A9Wc@@{7i;~qs zYqfZ>8(s$`Z_4o%iP*By5*BbItLg*9#%>#C^C@fA4T%());(ifBSYf%D{7bg+3`{< zSKTfz2^(r0+mA+gaTu zUGM+|DMhs1q(_+XihHv88V6esy4O+$4}c?C9B205Rs zN4zN8S#7@U;&}%ZE)+DkK*_e;f8@!3*{X`1Yd5~l)cCpiy7K~W3DU)PQ%=y*vXriK z5;~Ffkqh;;55xUt!UcR;bW#t)-D+1?_CB&AcZz!k>*QZnR2ugoj^NW+2RX-1d`R1X z0+xm3=n^pf^%g72?OTym!|>26fl2e>O3cb17@C<26*puK;zxOMx)4U!cLX2%xE3_& ztp{yeDTTkFIup!b14ccmc@F%{c(^Daf{!<3 zd=FuRUB8Ck9?mx7a!u#fT4CEBR1(1EMa%>r05+6n_)iZn*BXf$MFOhgk5zuAZ)?~~ zA->X)EiGp&@?E8SNhd0OXw2RKZs$O3)Wgt0bME~74v{Qgt&Q#H`}Pl4^ZVlwI$dfx z%{2CBAAEtQofN%Y3wsrQsD_PYTVl!eb+GI`a(gb~!|#Z8qe-^|J8>i@0VE)gOK+Ea z!0W8a#S3T0iIrNZW!E!F{BMMgAR2J{BD62pLRXu z0KN=7hZaSk;W6u(HTWhQ#F9Sol|zD6lmx#INnz5*HIul;#70CimlGiXs_tvFvDs>W zmE^Z8n~JLQABHnwmCP~*7+QDy?8Hr$l*_;hw!eskT3gy1mqJF2`+l83DGYu7AZr#6i&(_W`@KI@55ijFU-gUmv0qMyi&zv_gYE}!z7pe?0& zX&egKwq&5iqJw^-B$nQUQ0)KMP@(nB2n{UfLVSV*Y&)+%DnC8!meO^g{Xhuy{u-RF z6060q>9{WBu5A0N<2SBIL)%eP$KUijXajDypn_&)U5cpVSk!WAPUg3r^E7O|IikVE34%h&5D22RaSNgq7Y zV{%X>6Ds7=d>1<~`|qO@nDQ2yZm1tq6|r1edgKInTo0hlW5pP3SDXrAx$svk~T zIu&SW_aggqjWyO07cgRK5tqm^BdpVOA^P<)m1ttH zyuO)UZ}Eg9tKExjpO@SI{1EoBMmz~wn>>~jfz1wE*N+oIea+l9dc*_^%URr*wQKuf zJ^24}0qXNfnQwYh5yC_6^u1FJ-Lg{9&e;l+jz2Vxi-a9IYnZi2&Sa0S2KE?#S7P{> zfL)9GwqT3t`frXl+U&QItUZbq{$tg6zhkCUF^RC@Gy!1U3XYe3Oqg3hQ>II#_Ddqq z<#z3de%z<-4fi%y!PCnWX87n7fhc-W7P9hI*NF!AQmc;9+N`L}|F_jqS+;qt;QCaw z-?1v@yrt`=d*?byOyfo|##D{~Fog`b{j;utOkBRiS(sV4dBwAm{1c(qV2KA5F}NrY z?-ijfqj}1_r^bIYJvDzmlMJ%i$R_0(eXF(Tm;F}z`^eO!!F=V1S8GCfjKjpb($WaN z=k+2PRK>RVgGozfqg;Wyhlo%nuaQaj?C4XrT9WAnX#1{S8{=op>WC`QR?SJ`cFOXF z5W#QAgoIx<6n5!%6Q`M3Xg#el25pz9H=92=w1Ak-0Zkg(mrfpuI+}ig(x=`{^BUX;TEsA@kV3%Pj{&a z9)Cg6$U=>{T=9FvPh>NtA@w0(bQ{%^jw_2?MSi z+d%^M{66<%ngOJ5!mJY8-81UZw*+Ugqz63;b66R}J{S)*>u}aa=Kr4E6;CbXKbHCf zMAsn#!}daa7_OVIZ$oRjz#ArO$BgERMU`#DF8&$8-As-7Wim3-IMTB&3sEUbNttyBVQS; z`M+^IS|Xhp8}3izw~0*Hf7k9EmxQ^y54NQh@+R(Mj&|*I-nf}NQ|lWZA6CNgsTj(e z$|LrDFaIBC5VirUUKB2&x|St?2(HYx-3#ni+ru9xGXD#q{{_mf^`*&9hy)EA`-zi$d%QnN$eT&XbpLpVEEfH1 z&e!kmRd+8-@nmmA=5h)!YwkoVhu~T9#I$+A+DUa-b@gQRX#u4YChl>SQ(x3PFayZ;_Xo=kA7R~{#<_$Tt*dUm17 zo%Ud-waGJ7pBIxjXLR(sKtY1W^PG`&9?Fm6qd)yS{Lcf94W>xC87Wamq&;A^CP>Cl z^sIXE__(6R!on)Y7tbbIV0UlO(BsLo>e&>7ijh8p|1~D{9tFnJ!~=*kt4swA&96 ztXTBk8$P!ojH2Q{eSQ7?q!KIli}Zc!B7?*JGXC{*`fBLP6bk;wVvLsCz3(#_fBN6C zf7nLlxU>bxuMx>Hvqaw3PlCfzu-4^DwCPXQGgf}jSXx_K*GE8UE%H6GG^>bz@qTwY zn6+0Ia&6LnHs~*;$W6((`8%X=gwmYWD}=hE>hvirY`R<#{lINp`TQ`0K~g;sPZoK9 zSr6&?7nz87!Y9ctH!VSNWr@6*xkGE$)Q0o5iaO=FgQxfU{l68rq7dY@)9YC}LM^2o zD4zazPGVKQf)Cvia9JUPH|XfB ze6-7t-74CTcNl_MS-0^;<&B_~>lO5bYXs3(eG9iKjh{SjAZ?O&i=m$%#TihXUS9Gk zGzdM3uapDA!nENp?9YB1_9t(rxiB(#yI$C8v=t6K`!#smZEN^|uH&;_$b~}ZBnqbH z=4NN>t##dwrvGe5P9WIZt+go{&he#_m>hZB(?*7drQo7h`>B;#yELSFtQ>HZVWP(- zW_5DYyGjCO}a4THyDR3HQkbug{Jj3 z*yrW<1x54k&!t+A^DTN*S+pJE45o{g>3DrTG?J&!yj9RMnPo_AJ6W1xJ>7h6h)H&c z3Z}BqOJ(ZD4DS%*GA)0-x;(bx8oa1G&lyl zK-zQ>h^rH48C^~eqOrx?Y(7p{Vp=;W*paOC%kF2n!8q-``7~Z0PQP=VhGbm zIz8(d^vcQCc)_#%%n7f&UF-ZKx6C3?Nej2e?(uj`*R;`oqfzo|?BSLI6^)gr=c2n2 z*@o-F7cULZ)vjT9C|M95qd=;}r{qEP3(w~Fu&?(-$&4$Pqzo{zb^d)#-Voixuf$=8 zo-UwP`D@LuD|bYQ1KLB@L3J!bbN;W}W;P3zE8f={3gIakSf7i_FFp^lxGDR21UR&! zE&WMWmn3dJw?0*}1yriCmqu61onxIm=7V$>(HPck)7wGiouuSOxL)$wySMq&-yd7(z ziEZ1O*tTukP9~X&t%)bL?TL+vZF6EL_k6y;dmqAG|C~Bq)pe@+?A3cOy{@it_L2EU3@X97DYf6 zLr|#*5}up#9Sr~QU^C~K_exIp^X1s6N%^LV~Cp2gr=PwX`TxVyn7YG1Jbo4GZ4;`H&hYNnBXmFi%|kJ}4!9Qi3|==7snV9Kblxk+asOhs;>b& z@|-9wqlN}@sE`*sa?^yF}3JgCm7(FGlQs%b3y!(bQ; zP2wT--S^$iSx^dI;*D~O138?gcloJBV5%OBi{MpK zCrhEom4!z!T}K{|vsiBUk$AM!qG|poyTu@?%{z=TU|27FD=lE_QT&NzL_@juU==Om z_ej7uKbE3{yh(7F!rm5PJyS%{XKS<|lm;R@>J6eQ9_!!Lfc8nDtFk%n4e@?u;1hOUmi6?aZG)Xb2%VE4{SSi(wApFt*+%V9|8mW1)q~Q;{uPY zNI2JQnV<~LxBUoeZa&2XTMrYv;80W=#dQ({BZBw7?xdxaUpR){Tuz}E7=)2}EoBlo z-?_go0E=2wVs2~f&9lblV3i>ZbXEUbs(%lBLE>?(6v?2p%%Z9_7VQJx{0sw2aN z{`25ltsz5@d+W}pwbuoj=C?l4+zGrJCWBKf2(6G!%dr;eNbQdlx3_wGE`GE*oXE^M z;uf^Xau3NIVoXAvzg?AoxT(_^qVFolYNu6L_MY=>CPSY%)Ga4vGcE4#g3xvl0Min= z3dHT)1ggRcJa-~UK=??b$^#a^^>BHy8vr;x*N2exYh zZH5Z}h$maLCHuU$UscopM}rFZ#HRm6+}3w6iG_`ZW_&t~9%^Fy9px>~LI@C8$bm-m zdad<9Qt9e>DbjHQ{=RpY$|bmIFL)x>&pn#%P(hEX>9u|tlqtL^lWgo%~(`R?bfMF8g= z*8;A~3sD?0kKSE_W2HJSX13NlN%B1_Tj=bu6M%icB&Q1WRO(fSTCBU$1D&p`Jg&Kt zY2MAR5&%HYbN1?~IVq31Xpjp4lsad^#=@H=gmg1)XX%_A8It&L}Ht!p@m$DY` ze5hPtz^QzATPJJ()kLYg0sz?XpDq2EE!6~=Uutk9fRFSqgrzw5*kC|l6X#4}RAj*i zm=%Znt`zW3-Z-Qz;2rdo_jnhfH3A&IVPzoZu+~ES346jfzR+u80eE_TX758OdYMc2)+7<{QFZ&54+1F&n?y(eCZ8`6v5=mUig zdwqDh(lppM{l+cGx>}ej!HcVf?+o^PG+Ejd*jAQ7#a`m9=LCSbi#s-wYhk zY|~nUybRf)mZCag@JG@53M~4dzClSDAEiRx5mo!Dg`ca8>tQq42%MbPZ3^dIyJ2O% z(Ta43!j^zTXY%#vJNI5Dl|F9)hfuNN+fjP#Cp$Z>ID9R87Ku1I`Vpj$;fYF8|CyF2 zNMS%Wx7fn)gX!)H&T0!3+bhL-n@bmIRpq$fvG4gYc~nd0DN)ZM`)DcXy)K$pRoZl> z2qgcv%D|5K{neO)I!o!hS1q|pQdn%(VNDEKFCi&LE~{9#1oe6Mkqx$Ps0uW@%Gy%% zH~}g?r`6asE+jc*XWPXiI74_hdH!eCdAbTJ#7n}iGaI8$|M^qrROG2jHTs_{#T5{W z*x>ekS6k<1I(FrMlU2!!IOajh>N!4Y@HM|6m>(k^o4)^&j#)KCN&W7#;do`qk#DO? zp17;%wDI|nB}{p7=oV!j9;C4bNnGBE!NtcGQk+Ir`cm`}fWm&}uv)LLDVtrc>o_)H zJerqd8QVtFa=a{qP*3;V(PUn!q7Ym#&WL=%R&zEX;PgfjRF^>sD_-f;O5|bdMkT-T zlkx{WoYjH~&WBm6fK{1k{ z|KR)l+lY2mN5@T})WQrG6d=k$kfElM1&fr^q8V$;>2#KimQD&(>9o58@bbr}f0z`; z*#qxSG^UHcH#h#I`0>@oU;D61!JXQQ)9K}V)+61~=i~x&W{XF`UU-0lCI z?FmhZ9b2(ez)ZgNP;EHWfAOeZB8;{Og`?OuSW6EZd5XzV@uF(Ws1-Gd2D;tBKL{Um zTD5B;)!p9r^B)#{Y2B2VDASnpx~bN;g>XWM%1erAdEIPWde^Cm!Gxm?c;a#twEBm_ z!7HzbrDuO6w_(r?Aw-K|i;Il;?^!4h08$j9x~}Gp-@XCNRTa?B;!3xx_RB3!v-_hO zhfPmo-ZoL9ksnIhs zB&1i*W2N3kUFV(l+Ki{?| z(%INL%sefpvUm#I2OO@<9ecFYFIuY~kdJzb5uoWSpXtDs)4qS7EiZp7%z{e9k!CXZmB&x;@4B)IYgjiGyR)ruuF;$DF)6ja3k(RQh|oS^@$Qu2jxw% zaPJLg7{s2C3)(r>?lcuDB3)eZ^)tWb_vG|k)jXF_!pG2fn-`GdnF6Ve-LtDDRLE`9 zojwC`Ghz?xEg3!ZgYWlA2AGFw8cT;%eqg)w1|GMFg`w`B{*28~Tj94X|dF`5-T|K_D63N~?h!_s2Pq@>}~TCH|l2V5&;7SNva)Tj_brLUh3J%mNdjA)Yo zJhTZ{kFQ7V-_H@lcc`G*x2%6vu-B z+phc~GOURJTtUW$qMMvJMX-wQx^Y_hN=&#^@(D7ht_7b17*MN03TAV@ta(2TP8R>0 z{j2H%7(h21cI27f{rFbcV&K$cga&~UvAjvi{c;&5lw>WVypzjnD~p=BVcHssnPAIT zY4%rGOxS^YxjJhdzs+A5nRJH6{tSi8D5x$yo8w_=aj_mXe3TmbvWC>pALgfC_p4K* z*zItp$92$7Kp5-}l&)I_p1>c40q(axdTXs-yx}5%6m07^Y7dGtP5>Waw6_Go|UUnX<&x; zTU?Oy@x3{qG?`xyCw9`k-R7BhWB>$VP?7>}GNv3Y%FfCM0kyqG^UwegpGa2U786he z3{}4MA(Q{x5p}@0o6i9Y!mD-qKWj((4l6V=750}+dBlAF1ePqt4mTXd9HuX!$!C)4 zBkNQeoU={p_e2Pp!;vQ=w$KQl^XU(v2TzbvPZoR7XS~4&Af)C0iyU6wo#Fy_w8O`2 zGF}=7GYmhMFV@E6sFyifoNm3z)AN-vWwGJ6B2`?%6$RV3Z18OUiwO&wd1 zI9cHGi&IK`1`D{-*{r8d6Ml~uSHbDRO8=t*OtqaarYc^&O@@$)L46p$P*<%F3*a$P z-R03I@s16hyt<$5tJ6&Ljj=S)uWozX4Q_r!yFW+5Gg7tQ6zhpXN0ozoxpkCcdb zZ-$=xX(sDk;Z$^PJ^{P(3QgoquzC$%P?<>i^)6&P|6DHm0id=M2GuHiWi)dRR*1%H z9AWr=+jbEVchPni7f9=w>wmsK{;oz3vU?KC8f!OF=VI znp)3)c0*;GJR;l-o|>-gmTU}Ey_Cr>1ZjW)bW~|10>1*~MyU_L#`2Z!bBJPIB|BxR z%o7F<8lQrpn>Y%IdrmF+eF7!5^oWY+I@0vLQVVT8%rYJ5ylp5%MU?AfW+%FA4;AKG z?P)l~1Do^mcCy-B$>zr3ATM7urCbO`Mn;g+=T-E~)QFrtG_*5iej+6kqw*kHJMyl~ zu~kq-p`w2i#EfNGpAT1hSo)Xw0Hcc~O++UBr&Y>h$_EM{lCcpsArCwdHtb4657x)H z4>dIFn%jhW-|IG~U-tDb5we@z9`HHN`(0Z0H!+V_PQH?)AO-H;J(Y})uUf;xPb3^d zFpW@E2U*3`D-TlGP+uBfYvJ!SsA*k-BU?TZ&weavijWwhsL4DR3^1Tn`dyNrNI4z8 z?pRuGC8~2<8ke9uL5c!3z43>+a>W%7#F6^XN_2YxK*I)^i|4xiR;EV9CO$NIo%v(l zNY4;%#J-8wTX0jfjD#UO|AqFjS;#{?fF&GxFIS$krzPV%tXd%Y={lq}qIn`~BpFml z^`}w6P|M>FA#CHhXWL_Rc?;E7Bhj?LGGZM2M#*qYjF+c7!lXs>w<2c3?0N$*AtMir zl;F`={o!#ITw)mY{jsaJrYE+zoU<~{Aq&=;!_XLOO^6ei5)^QN@)+)sq_Z-Iw{W_a zDAl2NF90(LG(BYZrAv4^QwP|4l>6g^=jVHzR{%=7@yT-O9>Yq6JDJ z5ovxDs3Q$Az|Y~{cQ!@83}Xie$NZfg3%A+qIA+Os-h$t6CNZaL%ltF4HSEDHsSWr4 z>jg-X$fQV2A-Md92m_W=12)efAKi8`DG41_OS=0tQ$b-LE@IqBJ9=1gWiL9i+b7v26bdG=z`j9afpJ~9#W8{~cpQ=Z$KM>9(=tlgc zUpCv3m}iu*$T$M-PnJy;f_U-9y&>j{9hQArvC3*zeUcZI2;7G9={R6X80v(?`~T#d zgGp-o^45_&US42cGmp>9uBm*li6*jr$`iWFVhRj@a1Mk;^I^zo3(w@_ucVNFdE-ye z+zy&P(#CCkHyys}#x1q3opW6)#gtZ-kg3xNEHG!V?uuv+&+@-Q0)$TPA1T}`M+2MQ z&U>{=dMpesTbA5_ATFx!sHK%zYc30^VPP_TC5~{DW%H%v`Lj^&A+|w|n=kazZc&k+0D!#8j^M0v`6IYKx8@h?rURWe3RM(4l;)==4X&g6w z?L?i{e^A7?TPWq-TMW6iw=qiEkt9a>#a-O)q_!{#=P7qKEqm^ zKWhV*^}a`JD&k}irLkKvL%t-FfD3 zjwH;m^2F2yNP|I-=9j@eG^uCQpt5YRJC z(R{AIpetkv#=B41g{lZXF)ijAUwwj++|4Eg-kjYQ-W2zh9%@b>-^VuLqo23x2 z@Mw;JRa3{D{(JC#oB#G7ok$~bNeD0WVP3>wxTsN8r~{L?Rq+_i%B|il&!L0Pxfcq5&xRmL|5`td@4rEw2*DdYpdO7 zR3s@zSlOE8a&0Yn<&iQ+`=5WX()(1MSJXv+{78oe0TIvrqn3gH4-}s>-_<`xE7||h zLw{*LSL>6h*sS~@>dHhrOf#HKH`BE3I^2&{jYAdjM55QRu(5FXpVbY`dI>yU2GUAk zXba1_TQV5f+0`vjvKHXiS+^j&W+#BMA#ur$Cad4MNL5zuAw2! zHM;`Wa@43@i>kTG3Qn_AT-hjZ=uB0d(vPz}Z-i9s47^7N9yyo$=OcO~S~=JTgATji zn({W~{T-ZD+8qZk?=3NQYS}o&Oq==( zbU&z)$;9~V%jWbsJit?i4WoXkORr&`ogAOW;!iXQp!p)@a65Ol!?uPdGna2lfkop9 zI`7XkH(Qg?^Joc)7dgiroker8uiW3bO4yr%G)G7s;m@80i0W)F^_GkHEk)&o`9rcA z+}$#1hs^6yOy;X>v)5er+-akdZl6KOuh60nd>7m07%0?i#GU9{-G+xkLk%7%l7Zr6 zeh;3palub^DCg7j)PJ&<|H6gB9eSc$7;Jl^6lvr^%G&ikT^ro>>L8U8qBsRQ30M+L z3d%bL+a1(-%!lmpPWur58mP`HYmnA)`CBO6+L5TP+!!@}Xe4D5+8fI^+H%o@Tpe?U zZ)@R7tG5~*2ZoeOmg0>og2=bXTT)DAAZDq~fclR%O-EQwkc zp}pno=9kjkHL*Z{f5k`rNyWPJAYB_}29a>lD%flH?dJ%>hX{$%lUZC;1L-}4Uf8;C z9yf2Bmf!qdn-W4$M4qKlU%$LMHH6uZ5En$&JVN2(q^QJ|?-E^arWQB1WL%Myt-*~ynfM}^C3IVev5Om2O zJMz6Cd-m@|)B?GwtVMf(P-8t=RoVzrsAb+*Xc;(H^qAu+xAlhd%N~{^Tx=v$bulOM zi>+*Zj&~MHB~>d>clO~>v3E)LsCrA6xe(Rx)#1vPk8k<;Wn2-rwjEXX=r=qq49UDw z&Vl^aY4<;EMV`k|9)@NhDM1>3F4-na871E@oo zs}|L&%`UkV%|BkBF-&F8Sr)?1i&1oXbcK@(GY>JTg(+`&w!JZ=R3M zNx~Ou<4ZSKp}hk`90Fan+DhubP>*L8&!6I+-M?u0I`WUvRatjSXo#xI{rY^mtTcEQ zHGvYjW3&BqfU$ZcYhvVWsC>>h$#u?#Y-y)#`Yf9_NAM48G*5_e^?}173AN%teVn=o z#2GIiF&Io!d_asScA_fDjjMX9(1#kLkS{HJi_+lhmBE|df1?dW*_}xw3z4I2rVGCP zgy+#VZm|7Jc++!Ry}3oeV{+Efvydjvu*})Tm#MXP_fsXc^CgX|N=h2xjFggW>zW0c zb*v9lf*f5vbbognWNu8YURu{CgXJ*`U)65U?2G?@mL-_D3ffGS`jKSUugLMCepV05Du z_-h(z;QJ`|zhB96FlkVgC)jY;9)@!MUu?a~w*RK9me6265V(Py75IYyhCt!srEL$x zDZrk0Q|QO7rEpY)%t`mEjX+lo=;Qwaavjx#&wE=v!jH25e5dzWawclF$FD@Kkv|0W zXnOzW`^RTH!hgHq|9|$0yDT_^lh>o=Xtwq8Rud46s;_ooTJMmPh)p&>NY~Y+r0zRD zD_xoKEsif(1a~2u%YMQL4kX2+8~0<0LC_&7y_=ijKEu-q%lCfG)Tk~XG@l-ZL6K(4zq%+!$`2xIs=tC5skUM2e|>$lOZGZ}D;_=-fn ziJf+#ciWE;OBje_R6QcJQXTD7KjXlV1|3<#N}Sr~c{xpnQgO&m z4o+GwyX?qti`XpR!h^pGO-CcZ#W0|v<7l5_7fbCD2XKgyzHd^bksA2yN4u+rML3rl z8g9gnIjUbw&3z0%(i1al?@ut{QB5ITsCa%_9y8Tp=TYkay8Afk*sr#ZjMMK?2%Fam zczp18;oAwKxs4vOO+@H<6@YWB;k8XasNGET-s;N{H)}*2V=`>0Z(-+KA0*4O4V*Ph z2RKHF@@T!ViQ<)6tEz8s*U!t_x*KNL=tlId3fAt^5uhyW=A3 zs2j@de_3sq)u9y$m!}#I+c@bfve5~Unm>iKE zDe!mRS-XRKg<+M?WO8|$aBtfLWErR#i&#-as8@}RGHs?`!crELP(;G}OX(+C>jFKF zI_^rCiEpfUZiGe%NIXNcv{Q~;-VSRp=~-m2Bwo^4f3k^GhkF!p+J-Iltci9N-nvV# z@$=Sfx87f@aVQ8b9iO|wfNq%56mi=85SVy7o#t4@w7WZ*a9ud26q$-nOfsD4sKuRK z^S7lx;KgerT|KyoS8uKgjZ8&t_I9HR)l7D)=K?u{k_C5{Fl^l^8)oCaUH2OjNoeF= zF)aG+vz(>6UzhvZV`Ypso&W6HQLYMJI_SSMOh0no-@I7@9#=s#NFlHy0gqqxj z6N||Slq##Fa0M|clx@Z~U)B(41;&jFnw2!0q*@FEwNw@=$E&NTZ+JFN?~Pks9_@*8 zHPgi>zF$=p<9*_|R14Rc@#2w)k!g0`9rxij43*z%7lG0a1J<--(VX@S{M&cmxyV1h zp7NEaIK^u^>4~C&&Lvu!nCG)T{C59d6i1)s@k3F{X%$?xN*)!NE;Nn*wQ*(LoHK0j z(Lcd~3y?1<9mA4v7O)(-9-9<>wu^r9hSh9b-#+-HtHsC&G^NP@3S8*_Y%Y=1A)rO> z_&0s}7*(^cbVIm)jo9irZL#u{d%-y6I2qLMi7G;Ll?xHHKn1Z3EB<rV+-Nn&u;+Njcd)yz^zj%6r$tDpeUE!?_NlJ0Qe2vcX@&~{A;y?J}4YDA!j0+ilU zzem21!iS{e+#%Oocv6Bw*Ay|%&n=_(vO61I8`@0)-Ew)B={RqS2*r+$Ykv1HH}-3W zCJuS^YuPa~k7-jt?cInytq9HZl&1<8c>^kk8KFTr*vzZF1V;%=;B=z`&BMx33`!&- zh#^fE_sl_ZcacY+=<_z}8G7vS8Uy^fwx$;uaYr;lhkB+yBc?wiA zx6MyMvlNHzR2Qx9R}W4)eQg<`_Lte3UOxGiexHh~E0#t2rEzO+1-I}dB^5FcAAdaW zbMv}+-&sj>eJUV;5YHk56V4nTvI!f;P=8y~c&fA19TR}Nhwybjt})8GZ5+Nvt}XpUnk^$RWn_Aj}fc%Ot`fluv_r2?Fm1iY8}K~z9v;<~7# z;0jOCZRy~n24$yRSTaZ4oa(X#)#q}WS}!t()tr@##m0vlhwZL9g0bT4aOWiPp^x?c!5t9a z{WaxRe6*%a(mL^@iz|>_>=6eZKjq+zzLfEj&=9pkIESI+*5D55rG}-X+;9Y?fk7k z;rfAZJ-#GA_5S5Meg)<99{Y(&!( za|gmr=g~m0t!4OuN^ie@kJc|=(!2YfGv>ZG%PxN!Eo z-k$n-womN6b&vd@SXej`i?x=16;I|`C}si!0RDz-uIw7z`=hi)9pB~Yi#I880MK$q zAlYwdJ9uSzWrc7y;Bn#F(Cf^N{*}U~i_XCKlWf4o5!+RfN#aLeOF6$Bb(Gtd6IV-Y z(*1b}`q+d0Z^*u0h_u0~pRFKGdUu5aXK3jtHrqD#{Rs$fB*<4hZ^-Y4_D zWe|hUvkSURdhRZ50oL!NJJ9DHTQu&5KccPM!=FSpa`kb%arMO+-W}!>J3mPA{zfqX zfKmRnkELc>5jaD5&GOz}fOKFXHxSfJCPIBIHom&BkLLcxBl!wMqans`-QD#v!hm(! z&vN4N5gbNU<9 z@IbB1+F?eW{Z(q=V$i{o{Klcvm4jfWx2B!j5qNZyw$s6>Nan+Iv|o?;!?@l#A}3%K z;Ar_rr@(i>xh-mMHz`Ud9b3YH^WIJS5;L&78ALEh!urDmspyB^`o$mHts ztD}dju_&&1^oKd)kwq00c8W`0wJUVx-`EPrHhNFRRwYAwtgFVp75|i@$%Em2U944O zuPO7QITrp~1-0e;`a6CX%Nx4cwz$stxu-+T&_fEgo)A+=0qCwHJ%f{O%XMx5P#&*D zkpJQ9T`d=quP{qzXZT>NaNiO6ZKmOwVf+<$*tVuYu!e`O5joLkIsxl;1h^FX9L&r3 z$>#jRpwf~JRaxO(EW)AtcgbTDu>)rus-f7{-i5Pms>ukgNs-Crf&Jde@W8>*YSF;3 ze0n{^m8rGTO@PDIb-3z1$g>25Mu14YTPKG>?^Y7{$Ijq+#vu&n@Taf0_eA2(AvCZ2 zJcz2U&9Ms%&{#e?H0S*hASrIRid|c3{b8RHwx-NYz52?{Rn|^ZlbZgQ^?b$eC33CI z{`;V({+-*m-lpVrAN@b2(+B=ucK+R@YCZQb*?uWAS}AA(r4-Nq%a!@5*0~EYico|+ z;aIqZnp8VjsoHI2K3y^!#bnoP>>b(Ej+9!_zKnF#l_zL7jwR0=e&Aj4?>L`m2?o!O zBOBhhe4Bp@Ji|+X{cEqYy0m@^N#Zv6%N7CdMMa+BW6X8K(>s0GfqvVkcHCj2%6Px0 zV}bOIjl4d1()%*2W%(29JfbzlG#T}B(L_WMZH@vQPK z+MWigJBi29a%f<=)8?C#3sLB>b5YG>(M&DeUh7s`FB3p~b-r^)EPl@|GwW{mPwB19Nj8b}fBBJonu-oOw#LmC?-W$N5(R8-T`{N-o<`Pb3tIx=>UW0O^}+ZmcDJ8FUOao;18XFpE z==PuE4y9$#-?^_O>FAh50cu*Y3;2(opiiTtLXJ9N136I3M2)GbnK)_Es>I~+mj;Qd z)qLAA*G-(&z@gN1JStZPl6QGZM?FD%&9{i(T|Tx;)7*(}jK(dgUlctHt~ZkPH`hus z>^ZqV?R%2Q!9@SOcia)RQ{1b(4?g=YDX{4B*_Xt*Uo;eT3f~4%pvf~7|FV%DAHB!6 z;apjFODr1=^`?DOnDlcdKAMUwI4l0;nBh&nAn-n;)xG2JS}$3*_N_&pW6k6k#nRsZ zyr1_`6}#1K)+UOk;-}Q;$m4wdFFOa`QMUs_*XI};hZmRf!?&ypi&e~5%#P&M&h(Ww zJZ_U2C#!Hl?WM$zW0kR=C;gd^=N9*8Y-h(eFhdL$PD|!o9&#C@r4cYeBuSEw@#yl@ zJN9YgUus5`60ZcSxPU*T8xen~5yu_9!*AoLW;2FL;U>f&m)WEsmSgVa*#Y2qtEELm zdqFmbhpn7Ek|E#jH<_AxJoqGP6w;Y4T*gt_RO1@2vy6tTh|kDd9i4`}UYmkv|I4Vo zq{S;|C*%4>N8k`8oBb_;>jj;HKRJ4Ztk9}P2kn~XS3_q=GtJ|KG>~y8{H+_LaPjO3YAO?L6_D0< zomYHfG9Vw51f1-MT$CXl-`Nh&)}Z6F14GFRal}PAIN&I|s{OJ5KE%_}fek>VD*1$i zC#!S09lG$wC7dkk1{2v*qn=UCzsd1utVz<+hEo>*>yDtfx@GfuxGZ4sL2ogJI=#T6EZ|8CH+lJT)3C5qTCDy;oMWjDcB9IX?TIZ2RsuDB#cY zVgyU#N^z0~*EGY>eOl3hRkvziNZ8BbxqCFDq2dtmy$omw;z0~0lWYmFNdU(fb|r1 z>6A@}B&Y`QE1I%0Y)EJBT38clOiFfAKaiZ76?8qh`zK8HdYznsf`o)dK-zdF3ESd& zXMK5$kC(Cp+Txs%Y+A=RzXWwHxtp-xzLH#cg+B%FeO?OQ^Rmr~>(OUalfc9t2>Hwb z6csg5Q?vsK1{fgRMb~C8k9)Gu6Lj=Y5a{A9@_hcOJai3$X%_Xc&&S&)jdsOwu3Z=) z0xJ|>>OR)3KUf+Dk(0EWTMQw9iDmDWgS9ZF0iJ`0nr%F4FO|~ z>=(Q7&tb&+AQZm5gHKaLi}6Td!Zv6?;eq1>~JPlS(WRNZ@p-O{SgBT{rY&;CgbJQ*4M`#ks#L8_cp zyQaJP6}#9*Q8VjJHpA|Wk>pAUus$r@PYr+p#m@UcqqZC=i{K7_k{f#qX`K4Hn$uorNEHrX5Rw9 z(D-9Kc|BevVerIy!Oukqus+%{Vupg>^JqXZ^%#(%qN%fCyG+UF&jyT?n}*+`vuDzI zJHDrS(DU4TeMC5uxg@@4M}kiBcuTVIRz-$jYL!PhSy2Dli;LkB_UEJdIx)xpNwFM| z_~^Xru)2MLrlz3$k-}L5dQIS8(X>e(8Yqy7*psbjr1;qD)d3 z5P0lia5LJl9;%>`N#vdnh55~WR2p+{6jYl99iMiRw*mvWT%I@Fzp}c{C5VCsD;%q} zqYk=QIS(aN34YU#jWR(2N!gMu^tj0XIxE2nCE0y(CeJD08j~S|2p5MHrl4UND)Y$l z*Gr24W`nLU?u3Q-C-nJ4B0iHMFqBmJAB6Rh8Q6dv0WqTbJx9b$;76({pF9|GM<~sv zp}5wgbNj@=&aC=M3YTco<(5mg+jFF*(9BxL13`s5rV5j}Iu8^FkM(uzxChMH)HmMt z0F6ywCT|{X9PKu7z6(>;+YIrEjGMULkHaM2v9XbnQMzTpaqZS= zlU-KUhKl9rBcu+q!Xk=+ff$8vr&?%7Eh5Vz!^lbr$0TqdH{xX9 z9*B{szxaHRYK4jo444ha;e4DfK3^zQeN!F!f?}GofUqP%Oo|kI)Z*Y83Brc*rS-KX z;?uEp&oxc&6je;x4t+5MoHUjANkhhrP|-hhp#RmXc41_1Z~ItX+J)@ce8t%k*y6Wr z+q;{-5`qNOX@c@kS^N20n6jBCvujwl)hIGWQIZa)0_N5|FK`5h?(G7sbHgug!+g&( zC)ONRlgOc?i}NQ)@`$RVm4eZS`I3D&rLMCaXf>moMhbomKxft9b!k`sQ#ZVo)r+W4 zLRYG}L87FkDnVJf z=P3BHhTubEqsfR)V--{ZO1T(}g8NIboG(}3Q6xn?gq9zSI3>h>4X{^PWApUV-CzTE zDe(i#6U9Fr2{dNaVX+f5?yP%5K4_%Xq%@YMJLFrQ`cK80VBHFBavfgnQFn~2p zz?JF3HFkz|jA17j6OxUYCs+_;yG` zJLXuhq$dvsc-{7{n(j}8ykpf|bxk+iU7g`kND|NDumcE!4RiU8=p`FEZqV@q-h4FQ z7r(h6={E5j319E8U`80m)dWl;PUHzCgUF!z7+zJ*dVIpJopSH_KF}zGg<`%CYBZ?g z!IRYGxab!C?uE3e*HbmYQ_#vd!Nojom{kc8=m+NGk>D@}_A3sgpf@FijA*Y*2p*Ou z<0O7HJ9qSuFeFQz0l`+bRg#*k8O!3aN<9Mbg?W9G$hI{npje)U<$F9{!0YqJTBq2c zY1k97Cc%3Zz(~;Y@)*rRP??p9hX9Z$Z=G4z4a?UB&o}FXqSW3KN@h5lXji$92s&lf z*#%B6a4{;Pi|7neY5Y2^1?hi>KlY+`;0MlqDHiB~vJU{XKN)c}Y(?9+v8^AWDLZ1n zBLoNf?Vwl(y|nxM@_rt&u5h{CiPH?N`$bGkXGCqxVim)#%p#4*v+rv3@POz14KA!7 zQ+3{3e^OATn)t2m=Z6XqbO%%5qwIn!Vo;I}0hI7sODT3$=63Lb=C@K`b5{jS+jHuz z{NIig7d1>BA(uY1 z0uj?n<+Xb3J+(!mE|B0cGB8?4o)_fo^gT3e4zhbv?{=}`d}1NtKPRd(lsgV zTk!Nr%5dpNZ~!WiJfXjA5|Sv__K@u*PNUR7rwt2{I*~rOf@b^$oYu6o#LyOD+#iWTuydF9?N;lp{^$X7j|~@@FZXL{)HpxY z6h;+!pgjoZ?uYIgCHFATtrY?~U3c~fs;OW5LlB9cNUEkQKWsZ_GFWVe7LGRj2xFP& z;jk%bi)NgKv$m2zP2XDhlz2jFD({DLl0&Ko0-Lqq?o3}$!ut19c)H(eXwsV`J*T2t z-3L~qKD&%aAtC#Oo}AdWpVxD|4S{g6FWi%sL37%$Qsk&X)Y~Az~WpiusbCgxX6M`y>n? zC&BL1=VS&4E05gWgo|L}^gK(~x3~q(lT$L0%x0-(EnXrmv7v&a;tV!F3vO%7+m1WC z7!n%(NhN#}M&((WU?Sg_*_Y&5^_|2Qn?8uZ4+YV4xKGF2Nf?^`N+ps31*6RbD zx!;Hq*DN;LR4X2?Se_r21NIiY3Xw>=v(T>cj7T{F$`y#%SHbfrXhCPh-3Sw3%aI3oct zW=3Z%#^rL!wAFBDGJNrfDE39884X zAmW!|hr$>9z1lx{&CJU)Aw+y35zDa5aMIlPuTCh|^LwyyAF2#s+;#(y0Q4>(p)|l+ zGYDCwnzs)1OX3dzClIlaxj_ZuIuoU3GvQ4S9IwblLjjl}h{Q69fhhi;2U7P;jxFB@ z5dQ>){F;HwXLG?qWP3%>+XZ5g{yG#Eze+X>#_C~zg(j@1vb0h-r=r`kQ#SicYnBYq z@)f;{4%J{2GZN%9VE{qrH|0YXRT+%H|B`9XhQeyVmFP#cHSM4>3wGR>eZ{$q9oiaZWR&TE#bFg2uPui zuRN`7{P(HW$c#(U|k#gUTl3Dsf`iT=hUo0 z-2C%?Uvu!p9?Nizr-`V&(KQh2VaOHr{yRAqT!%nTjmEea0sw*h%lT?}FCt9A2F>S( zu(?Wi4VXKqgCgWgs^mi-rFYL&Kqx$@kF5O(b-4{Upl|z9xPLU(^W~iV=GXib99}aC z*3PpTEn_fTtP-=%vb|4dlMdJ(cP6I>Y0a$1cD26CZ0P3PY~avP+r@Z0reE(g6I_+a z?V?QPj0N>2r=#Ln#z0pD9|pozwB%*J`!esrDDFjc?D?(-73loVbebNagb$=(^$yTj zoAgiyT1T+m?xgDVyUDkdl4;Er*L33q0Q%>?@s@|P0gU;~U?;9f&g~gxwV5mLuor5% zxW`>`6}1!J_@5t3!xFw1LaRyIUHhB_HORfPk{0fiQyhhdkOMHEo2zx$h&95l=t$;Q(BBxbN{A ztE=1kB6RBg^2E*dw%oqXq;;T1;E_b;mSJ5pJx%YICBwbj2A zKBXjO0RE-rNCB56<7{Dlz&(;tK5$uxAlMlg5Wpk39gtfY_j|G9Y;5cRX+5*~+g@BmuTM{OW_kU0o z1mcB~X5*m&{^*L}q+2HrasX(JXGFv$HQ@!aQ#q6{y`>WZ=x^6lTjp=dkKCHE>r2Lc zUyzAD9xr{N+d!fhjAC9x3ZQfCmm$cI9KkGJNsc&TEq<}8P8AQ2uvGYWnnHF!0ItOX z3=6F9wM(b@aWAiht`3{9&LK^)&wmy@4Rp%XAF^=fD zR!a{f9!(0vQM}tV`gxi80aI%;RD^d~RlFpy6qHEq)3CuaO$z9d|BcX4u9*WH1O5Z> zAv2x4clA;?fV2|_pqhLL>qC!ntMBjsWk@|femn5uxZC85!d-oGvN`ayNnweHW93Wl zsOCQAh&|Ajn$6HsZAgq7b!5s{1a~ z+iu*bN%Z$xaDcSA??oY8MWmu7K*ToJ<-vw{Z3O_RK#zDAv7nsM!;&Zi<6{8wswIuT z0Lqe3lT!n60QQFeI%0*jWzkbNGMuCx1p^Ax>37>EvmQSH8XN{>#L=q+kN~5HIMkAf zq_jC^{@;g406qF*INDLWZKBqsSaM+_QAjC*V;P-cd%$>}`L}qfsT_2Av)TYd0`hNe z%;QphPo;0#ds>p3?}9?8w9{;C!anax?hP95<8bd#81YgWP}C3|Ly%%{oeU4 zUQGvWhQjKK?WcDI=np|rPQE9vp1|J&RFhS-=xHPWMMq>Kh{0z~=(a`8&V zEx%D0wnJ0+&`Wdd#E?7c?^hX`PjkWKRCEkrCFr$6Nd!7Nw1@!jI2g8tl&Me|fBUB&+LB*V1j zw|fKQq{8_r)(&l(T;*Fvop*^6>vEQN?YF&BU*2HT2BmGTV(_!e^Xa2gi^%%mHVsud zv*_}_MQ+TXa`Mtvu|x$%r!I7de&DT%&529USAla`{j&77(Gz^0>W`lxZ59!umHtJ3 z5zf9}^80q@YhhR&*0a@zuK>dQ9ynI|Q3T`oG%A4dFnI))%yGOxS_pzlAb$Uv{Wgw^ z-@n2P;uypg5)9t0Pp=Y0*w^NH?m7~;b&@@)?^BdI1^fgGA9B`eH)p3ls>0>QN?_8& z&v}laQKBK|r~Z+;lQIT~hU2r-$_GO}Emqem%ODWkO3JE+auz46il}^%X4bxgA({eR zrZLV#4kbsvkyl^JS-e}lu~~%w6qd)y#A@x4VesgQz|rp32><|Z9elBx>MFZghL_+E zISR~_P6XO$c@DsA;Mr?D6 z)K!jBJMe@%PVL>^m*yw>?x$RR;N{n+9D6gHp0vY=db+w{S0&DS`|d}SQTFwn#q`7q z3i;@$h%Jn`A4^AHj@3i|a*qcfrPi2E2VM7R-4r4oUn?6L-NEYq!o{TKz`OIl3a@xg zmg)3cbaIra;zwbr6@)a4$d_Fx4n_wfsB~tB@$u3 zzgw~$^6h!FqKg|bmT|FJ8azA(Y{t&yX7P0#p)726tk_d&MH|{gt{~zSgu!Z$wg$tC zX)n21FSYEFFZ?Mr0`r?B+3JUleYR4rGn=b$Xyi=0*wDkKRFH@Gdxz#^ieUxrbdcz@ zJGkkLowqa!rQ(W5MLrwRZf@uQIE-D43Ha9@D=|vpDG>H2IRnb?t2$!ET@_b_|7`9hp^f29?ZIM_bo?jS6_r@b0rXD(VG72p`PiTzcAye%G z%LjA4^z<0zT=&OKHTusS(QEeH_#4B8>>g#SxpMz|+CYa4>Tq2na_-b(gc2wn4IWQI>BOgEA`l+-RB7^LHMhF)yp^TYFhNWq$dF zDM1FSH}#h;ov;ZJ-#Mvk#>KABAW-jlKTK4vJC2M5@F zZ%`p}T`}YudW~(RgMmxaG2sP6jffuM^|Ca(eCM~;#ifUL&JQA)<&P=^Jb+}?bJBKr z5m?O?*x+{nz(saRQWP2M&fh>fG^!C6?B%C|cf=wm6OrF3!<*1u8CyMff2#DK-(yfz z0d12Tzk9D=X8%(j*K;y5%caIn`Qg+$G&4{gf!|KZ;=Z1$I!VZ<^6%%QO@B%sjF0?k zH+)yk%Mte5HJO{HXuN8$3<3&2sDb<3nZsdF<^z`R(-DKtL9?*^Lxnk_qQetUs_eQ0 zGxS^R^FA=S6|ew$a`Vg(w4?#vRoUOdM9Rhv?`bpUZs`$iZnb|U45h@JAk9@q`g{Ja zD&=pqs=E8LPGE_XW*}?76%j>p>mroY4pK1seN7S)j7(8jk2w3e~ff)^N1;VW0YH1T{E*nC$%T3HkPc<0`U0v|qj(dq~0!eq-I2_FUM1-utUb1(mo= zRiqm5f;7b*|FEPZ7L{IDfGj|Fo^sh^Gp3M-C#(3aT9qgthi28?6_}$Ru6zbLL)4#+ z)d)+9D$3EzcUD@_=PL+txFZA49$`Y)sZe3HgYLHys9dqw(H&qQBqzm3Fwd z3>Dwg+qwmBj09-+m%3>)TrOf5X!c05pntrws6~k=z%606WBKMmS+61^+7gkFa50NP z<)!1L?!DCBloJ;~qf7k? zF!(t7YMl634`ujtunxxwoZ*BW{)xQ(;^0Eq=+9I}h_c@^12;zZoD03}IBv(3-ks4U z6pG^cm>i<~dQh_7_1di8!hF8pBxD|ZeADIK*5*OCgBVQ{b4Ful!$tb z^XW-mR5Rbzf3q#fNol=kr|>$Qdm>A6_`OiOT>*08Tc7BMK)RgDhS&(I4{4#}cxx;} zcBNY7;YxltoNia^Sie{Q0dE8a=B2nSGEe4z;c>4q8tty6%sPJScG!E39Z%_$bfk4` z&$*EWTWM}YoMDx(DhFRt_aawJqWHWKkL9dztiFJpKQ2tcFGiRHg(O`DCvWdUy`U54Eqd>S>ZLW(Z&E5tba9rw?^z zb(4!=I-2aoY7_gro&4pxjjviAU)}s>*|$+?oA+azChaB~atV`J916Q5lmfp#v{A9N z|4w790;t^p-@4^<7oh;XsO-ddYXGzsT#Lncf!|&0U9;2^ovC$dt@+rg1!sBhSAk0KHQ{!@(yCpdcj)3&m zocyPUFtk1&_>3tUl^v?9i^ck&E4{^mbKk}r>NEMhl3!i6NZ&D8t zA@00Xbuw*VDVcr5EV(Po8G4DGk`ETz5FV>(h8C~#QCE>qtU&fNE`EPdaU?P9#U?tx zvlTDhv@2~7b#k$R&&Piz140SG;tKMF?$_}$KJf5=9YFInC7u;pB6 zewnxLz$Ud4A@k}_D$P!p#98&Q=Y)^7A zhTJK>aP^%`B2|PwNKl5c`F!-$y%TKPC856o`%*Y{^9WG&oxH9;pH%Zo4te|C-~T(N z;X;OE?h``&6lDZl9ARPO*CW_`HeJtc;(qSy8)rV|N=sqHU0>(gGV6>GSrNt)th*W? z#~VW6IWyu^sQ2rmdTN-vq*`VnP$@{Ws-vihRisE3tiKr)v;&-M6N zNRJX(H3ti*7XzW-=r-(H8VX;n!$!_V(NNzdp`wKnUIDfLarNQ>;S1Kvi8@RwPKKt1D2A(WWpU*-sA;UEwNxb07 zN83j_m3PajesSff1iK$ZvF3{r`Z37kH}CnhCt_1Aa`XK zI753g6f>t{I)BBM;we-goQqbTJIH3rxu5snrR>80P0SJjhfI)hKg*6+gZ6UX_WJ16 zp6olCHyy^;BTvN$e^pd*-~G#$B+1x|Z(4IAJ)jtYQ*w!>wm+K50C9~sjtMd1k;O@0 zzm7!jiI4N^w7k4T8^1%@)I08cE2CX@lJU<wOYr&%!q76lSi$ceMmc6xx+;M<({qtuzTTn*+(h)8OI|uw=>ryk!}fT zM_u;Xj?V&qw=GsqjXSlmYI6HEIaJ9lsD>?iF-`M)3!WLOS&g6h{r6VfY7AH(>h}6) z;xh`kCaZ^@&wq019On}gmc>1P8Vg}w8ds(3iV*$62K^hy_8l?$-^4#&=_pfU7y`ai zd|wR`iHKdG<6M(5)s19LyJ1xxmQ6f-JvJBNBW)r?jm9Z*%9YZoTXt{u(`1>1<&S#N z8|dLOm{wFe>%6Rmq`nuja|n1UU@@)ikb$CbHnmKqi}BC8!J$x%>}AB^BG!{Z+*`Ry ziQJ(UZ0>9cYD-X^YUkMmw*oj@nR&^dlS4=>HSW;buZlRXnPbQv8_IYzLNV1D(1Q5=sRFQ!x|YltC@WO#ecuT65^ z4YMo~shGK`5Xfr!gX7Wk6gNVEKOFTRfNVg;BpY=Y^zN$D|H$q<#PFG#g@60#ggBjg zH0=7Jz6A;XEgFmK*L#4`o}E94q>DzA0bMxybgh|oqlTZe^oQLJ;|clr2N+8qKqZqK znpNSXt{AP)JVke2>w{Y)$~+ZU^80axx82zvff#m;Y$ls`j+2^lV7}aYWzpwn$50;Y z0e>ip4qzjNmu8mT!U_cf(F6bj1el)x#Er zy%mp7=H61L>*G-xFGc&2GDY-6(l1r<)rS2TJ20BiGxz0w_T(b>&ic!>)UQBKP;0nN}XiQV;##I=z>8LP>2Dx$&b^JAs*GktaA z@iCp_A7ehuqql~#PJTPzZR6gyrf*FaXBUDjeKh2@KX^MLeAOGfeB3JM7W+u_wJRu) z!ay7LvT`UYR`uZYZ0{E??p4pW<6+*Y97=c2#`zIlFF!s0h1ZZWAxuodXuj3;>_#Ey z^9m0f*ve!B(uU&I>Xo7x&rM*1RH0={iVPB>!}dGdq(exkTmq)y4O#4Mc+l@%6y5!7 zmaYCaaR+Noggx4$%W)GR>+9k%w!zAnt>K;ST<069x;v=RyzFHgzG^)tE zc-o;cpt-zYVQJ*(byREOMo!)tr_}bo)D~JDOU$khp3Z92erxtFo6H-Yj8>8KA{kTk zTjh+MFCSR*c?oqkP&m7;!HjHM8qrw)al|u`PK~k6FYI|U4}|IP0;mA6y$;x9NL)m& z1WsNZVcrSjK)-d3_g2(6I+AuBAD)4gSUyeXR48ie31%%MfI#%<{+3sd_f2IFD=Zsc zBaZ_w>+j`W#~S9L@yI5ZjGORglw931FJ-?7lfZf@5R!4FJr|)&e6MgsKYP_K# zdK0cUciLe4WgI*k76o$ha)DglqW2aEmiu>!=i_*_Y=a7Oau&-ADg#R>H#6a4;})v) z!V(8=5EWS|jNgG)+GRHD;eLn~48ybJZhBD>Q>tuuYgM1x|KkIgU81QIY&7dBbW5#d zg)^E(aSMe-%DBrjZE{R&u{wesR>NHr{2jqIu`;SZ|HT~%&pRz$Y@;ZV=SNEYeLUNb zCQa#n$)Q$@Vi;WV6-bND$V1i~(rR*0Un})VGAz(y_1X^kwM^O3X-U^ijDe*bg?#k~;iL&Bo=sNQm>`@XWw7>hE zv;!Uv7hjw)#&6pvZR;T7RT81;`T}`0D4=yton}n4e$WSQ52XKkE?}F}N__nZMA@=BIZL?bJu)-B(d)E-dmEH(-H&iz&Q|7o}_ZcKC?`A7vR<@;gYYMWLBW>OhZFVsp`Dl8=OAuTg!%l|#^_d-XKbNbtkTVTnSfB+vLpSX4al`;&&!Xrjt&PMF~|d<1Zd z_%+i%w{LCFP@DsD_rp*3-wpozv>sK#cJBnxvfG70fvAm&PrTGm=@m(b&`)WYm;>3% zt-U8p2CmJQh6R>R>n(1>w-z)n+2j1X4@(_RIYN~A%T;{D;Hvy#MF@sV!Y!FlDPk+N zDxshlTv_lHhr_~fZ_vtcP_dD;+NYzW(Tu$StfFt-qNTBznBDUAm9tM~3{=QdHJHyn zVV;rdstO5_6k_rG19Q)O&D>9BX*{Q=1o*%f;)w7bO{*PcZV%ypW68UAyY+qp ze??EJ|Hq9z-2Z?J7lUY{D+WfIg*qBi9yLre!EeD*h4CX{(v)g(j~=+Lsj@Of^C`J4 z>pw6SXFWEnWjT1Vbf->N`4|sp3S!?`RCAfM?-kQIWX$94R*ghoGG#p(Z5U+u%)bGF zZ}M**A;{;UNT@LKth`W8^AbWu260=XosD^Hvm`UUK?-f$Zuw{2t5J;tLg3xWkVi}7 zt!M>&LYR8EPyJ_Q7o2T|V0x8AQN_Rz18EE5X0woQaj14FTeY7he7e%;k9DdwIW?mF zY<7Mt<~9NK;Te$}Drp1RxmM#+cncj((q7{GpdWq(e2_u1`s27rwR5$~@Z?$`>9P4}ou~i9 z?@C3xGlK&p*wAAIMWE3i*1qn_Z`j(qS)_+Hi-!lt@EMqxD&3>POr2{p^US(~kg=V`3Ws|^euc7-FYv_44%FE`MQ|35F4 zyt2@@QUkt&#`&~-Mg6N~?57rji-A5qJhy!o?5*9z8OzgrFZkn5j~{f#WO@4KzG%;#)DhnIg^d@FWy!`@Wk};3=7ZjkVWd`C<3xdUsIJ! z3cWnhn-#YzeHvfQ#Co#{GRYH~*2Zk-=%z*|KN#JmJK~|@Ekvk_(Y1}IsHtOnW7BXD>2+EQ7|f5ByH-N(j` zljo)@qo!_Nqhz7D%%Ia8jT&PLKWpxIvRxD0xzagtbey?#+*Wd>&`wm~ukO^e^*grO zuky?w1~0{6S$C|>JM8!sQUU9I8ML4yh&b1si-EO)0DSb=W2j0jbBJ_SVd9$s7i#|p ze~K2Eg14B#X?FTHqCPRm|BLnNuBGnmYfHUtcGFibedkFYyO*JE!hS z@kVwiZzL;qw@$=b>z?j1Wi4aOIES9^FNac^$EVt!ROR@dx@#zl7&Wnkp>HC~ zsG0X;Axo^(6Uv5E{4cnpBWxo<_Tuo=_0zSPy`?hM>^#4_l?1<+(ScQ;JpO$gCnXOf z7AKRh9=QLr|8ha7)ukWm1^lmXgfq0PXTAtuEf-fXlTHjgcTQg>g`+?q zt~=r;pP{mV7Syf){Il0pbLAlPAWLU#4nnn!0Mk`6gL#8ad+FKQ3iP3o+uJ=E2LBp? zm%nOCP8S3!hKms6wHe4%7wR-vOUG!@Ub(ZtBaaj|_ZMdRu#^^HR8VN}>;1;uKWsc% zo#S(xstDkh%PO3^kBtAbI6y$Wh58txO7_%({x!q-ub$?>jT3lS48_alS{WPX($M}- zIv}H@WR&alrAO!DEtIaif${unPxEgvQP@pr%Vy%uUi2&N16JDk5r4y)cky15&euM{496B5vLZ+?XRAxia z2p3DNJYK#Hfll91edhF68LO)c00rlNrULZ5A&JDOjJ@5nt_@L0=&Xvt1#JJTTiA%v zUAu8=gUTO?D*7iq`1&S9kXAYJ%@IMKLZ`#2hxFFb?EQ{K_6*N>zV=o3*;Tc#ZM)}Q zg~^e*GrpXJ4_fK#u0d=Yj*Qcme-mT<=QrxRDq4KlI~ar|7$Es*gDaGeFoW@vu3egV zTO2LZlo|xgabwS+&jopc+(s6%IFYP_I3<1zhs80v2o?we5Ah=Y>}Fb@e_mg^*0UQ3 zoe%wm;M}%fuTEZMfjejQ=za5%ohHj-|NTihz;j-BYdroF5z}2UF*`uf?)$Io;jSJw zRqw?}P+$eB<^{L;rdrYIq;0z^aQnlBJw$2H`9M*Kj}Z&<-8*V zWwCzr;|a4+<(V%OPix)n%8Cg}GK^mdzSQ^RCX*OLX&O{YX!jf;mM5+XJ5um^5~DrY zPF$N#bK|VvE39%G?u=lua~&&NYHfC?vM|WRwnKeh&O(4i7?#O??e5&E8bt(Hyg+S9S(iyeA+~}wf*A)dJs$W{x@Zy-D7)s~aD~efNQp$mE zZ)tbfj?xC}ppX8kAiNoY8HR@vgYgibSQF()8YSytRi|8e<_OlRtZ$(PKGc)WjB(l9 zsw-)4QV)Tx`1)tV4SJYa4htS?q|<|WPU7it#rMD>=^H!K^1fO{vKrdipz zPi!kKEkhy=5Bp{q+}!w_Ry0ymus7XJYvX`m^@a4C_TUWpyU$sf2KxH?25a_)hISg1 zVeK`M32ZvDr7wC1lyqu^o*vBc4a%psq<81XsmfI`kE0b_gH4q$XZ-I^_{uwx?0n5* zyz`Ftn+^2YZDY=h3>k&r+-W>Cb{_o8@rey%F7`KTTH7Kvyv+y5{3-JvF-+Ujj}?vG zmX~_N2+4R7xw}THs&LM#6DyVIU{K5^N>VCvrN-208m*;8&gy^+|G6xotM8wf+0#<5 z7M{`P9Xf9(6;>`tM3Y}HC)@nZ622RgX;8}NDa+HnE9Bz=vzoqCfoJGDgIo1U4%(z5 z&vN`@)Q#BD)D@C*3r+s>_R#LO9XcykO@0mrsY*c>lkrpK^CZuMQ;Iak2B6{3Cp9H% zrR8sJA2Bf-uVt)`UNO3UKmnV=CA;#*&Zs=5BmK1uA-GVUE}HIsR?8+ck+_4-i-aDw zm*Y}=Z)RC_){PS4a36-ti<8Bf$i_}Dv3h;GW}wwmVfjQD3{DVS8f5I4yu3@djuK=( zBU>RzrXi;n1Q)OHn?MxPHyiG%$33Oat?VFEML(M$oZ}IM(L5Ve0rMAQ{|8Li!f0O} z(OCGgWR%XSK-%xoW>v6KlRc98dn{R=of5Xw?_u6Vu3SX(x7L)8luxqa6`pgLr_s*b z`Dwyla*@U#t@C$0QXbQckwK72kb;7O%I|{Zc=Hp|g>rp`9o}izDH$m-;i0$ZnbGa9 zg|QqqJmIEZt+T%^4<{F-OSc+yAa_AztMXyL^u2Zdq)0)%>Q{0Tr=F-cA2Ozq7)<3#CFT((t7x=$wNlOy!V(E zNd2S-7K4`NVIY2Eof z;eq5{1oIxvnjh}@>)|kbyGQL;=AD%j65u5lHTCVaB*coiAQOIF&tNUS`z4NlGVh`i zi1)>{TgFv!!*lyhh>MDD{iN!s4K}vO03!Ff)V2;((+g$UQ9LEBgu%yM*n|JNWM=svl-q)Y?K>F)fU; zX=Ir-%+9?aNopY!(etlGcF9L@Hh7pSznL3pnN$hNkGy3V)st+KpCDp=mPzW)hBF9`Nk`?yF$;o#R&~evQ0R53Y2J z_Rxzk-P^NrLmoeHBe)Qazoay#)tgkG_cz(A`}9{J`QzCTp9QK0D;#Y20b9gkziMMS z$D>Gw&s(|-9lDkxHnJxlvBN@M9r+B@Vcj${Mgu`*>{TobMATTO62tbcL926V0D<9l zjDt-7CsYCsK(%|U8blh z7z1PZ~Q~K(h!qqGvRyu8U<@N zYsN~pehI7E17sVp+}f`+&E=c(3;h*Cns=L~}*7 zY73q_8U3k0hZ;oXPkd_evzOvXLqUfrV~+Aza~UANOroJhcOlH_DfTJ0V%(3F?j;%yk$MtTyW6MwW1admUB=|jv;;TCa{`Pv|AoaRkRn80oF6+wD zmB0bIuq#jwbgyHsq?bRYd9Ah*QegAAv@TNLs0F?9@+np=E7duAoItD4VEtnHQBuH{ z6f+JpMvDG-V5!?t2n1&v&*zG`n0(vc(`qi}o4vYR%}=`Q+;kC}K^BK$2CYVWIV{9| zr|X|{7x1Hm(gq)ED`3$8cqmO&uU@Z(%D)_C(ylv=75eE_^Y1y${2iv1ic9!>dxbDR zc8ooZ)Xa2%jDWLsyak)6dOhs1obBjP(&+zVlHaJ_)0t1hez74SsOkYsJ(d-bu5O83 z8wY;NZZZb%y>oy5OaV{IB9%vZY`>acmTQlLS%%Y(ZS66(8%jlz&(iVXW94k&X%}k& zhn6JaCB#d7hS7Gq#cPLp0#>d$WKqFHzIBa(`mJ-;bPq&h#>`a_S`|=g(S|J(H(OI4 zG=2%!q6=gBMoB)yJx38QtGdi#JyWhyFiy=xD?I|D`Lwx!2~bv@CuHvg&VCxIaFj^n zaE==pUe>tv*>GXGzo)(zkkBW`l%V`J8c*;E(@Yh4o>1Iev1UB`zGVEfzt!?nx(-D^P{R}TpV4DzxfUlqsqN@(_=Od za>l^Wjn=+}jiWK^vj%e%( z(7m@$M@*tP3{Ez6`AUJMewgWN>Ls0=wYx>vNv8!WF};>oARZW_qB9FwI5nhk-k_A} z>XJ>0uc;|f`kKdokitOBK(kGJO(#`JP9CmSCf%L*b$UKm0he|&wfsRlTd2(ZD2D{g zspni$tz>ka^rL=bIH+`TR})`}(-7JNba|b!9k_FV`X6Q42-%hnJrnj*Ee*1Ig z)(f{_0z`jA9Ir|~4&Hy=unG}gyVJTH^R*+Bv{?wpQN@kX>SAU}ZKj;df1S8t@fT_i zl4gz@`ZWEDKQtDc5w&!s-rg>s2HjY+$7SbWl(;nx90_FWt_yq$gKMkrHJ%*Xliqi09<=9P?lFCq?Z<-pXv`;^Yu0DQ(2k-NI7o zI$Tw%t1)oiQGK%H3YWj%xk=29T$qcw%YQ?p1qp8~TvZyhQA(lWv(Tr;D_&%mxL4+a z!|K!!C96<1SDvTJSo+P_{k3aGo~|Y^&JRtMZ*91t=_~n5xp#T6r=&Ped_vVOxKvhr zyJV>UYk!TdjSfT+y;Sz20T#f3Wd;M$#B_@{|<@ z?n*uWEpT7|BsuSq&+WHk!e~*XblfMg_h!7^ zQ{e_B`KT*dEjMh;cz3O4j(p@OG7KZ^L zbIpc2zM~`PPg>O?|3LyoDEyg{_IUU7ons2D|6sg|i8maU znk`XRJ)-A^^dUA?OYAea<5OxSbuZLp+yGB2%rxk=*yyz;hli~zeiIwTxEhJ04N;~w zxIDZwplS3U>Zo(B@vl)W>QHHQk(3l`G91S{thhleDv=?mX>c}*=gAiIpo%^mq7F&S z$|Af8Ln+VG#@(krzwq5vVG9Z=;Pf2@ABkV1PLoUslseDy58YqI2v}9^auTn*+_)%! z8&X8n%e;#4aId``Y1NWx(1!(evAQF+z>g|7K;)tf3}%h+I%fY{aH#lNd9@bV+La67Vl>Hs6BL1~|mNb_8^bS0D>7n`GcUAlht ztr;;fA?cG{@k*uC89mO;VC|+CMr8)L-2tA|Dd4x3Iuv=OK8?I*e!qX2E*(*;xtfsQ zan;EGh0}4he{IMCCG{@LfO7uSHeZL7zY#93kKF;*8l()LPm+-5-*Y?n))6sD&P_zzInL>P8T-kTs(m^15wN*2>-%!arLk(H zjR#BQYM&B(Es|*7SZ#+BYY|7#E8Yoj1c5@DtTlVTds+5xq{Gf6TnFs)LpAn}Y=wrc zb*v-rTNQ0R$HL9s?*sM~etuucmJ~?ht2yb59Y?irH9J!Ryy-UEXqx8JboE2Xl>L$< zv{-Bkif+P6=Qa&U1awXcfE?4hK*4sNeywe6ObtWJr6mK^8ybZ_O|@tzm&0}Xxa9eg z-~#^csLFJxSUMsF#?K#?gEWet;&RtcHCYC-a`g5#s=Y@mdtvRo`*+6@5S*m-OEZ!+ z?TiSF`R+iS$Cx#T4O;$n&Uq3@=^unVSv>th?veihWKK2WYyAc|?OPaU>2A%P1lY_A zHk|aD)b&EO`vrvF(Zc-%dV>ZpB}V3WX7M)_lCU&5V>_i(`qq0vzgcD8rkOx4XMR5- z)QQ97!7nBEkP$3plqp*ES~~B{hG*#?2P!=xXdjl>2u#>t(4Q#DiKX9Da?kjL>86)J zsm8muRD1*+r%tRbobQ7jIqE245+eoIm#+%+uGH%e@t3hvlM}dTMszMST*bxDXm|%c zCe<#ZR7#Stn#n(29-5LLbC^icn|)12>UQCV+Y~M+T+}1-02 z<(Bbq$PJX}<~522fh4O0$XF7~ck>OhTnpOAUkKz@*vhamCzid~Ls=t&?$Q3fg-fRV z<>v)0>y`X$NNH+!Qmd9c_byoC=5HHLuT0Gb|B>xGsm-eDh&#S-iP|$tS zI?BqdBbv-f6LyG-s^RCAS%KZalj7L=rAuq`rats}P27O`VtV)e<(bB_{P=VjGVqzqOt^+_xZ90$68GLV3kV>~gAmPHoj@R*+MWnZmKO8aySa zU{CY7a7Yy=msOA%8BHEUT8g4OoH&Qu?5-(PFy^IVH3=Tzme&8@Vu8e^$7_A%^Dd^P z9jLTdkvsWxB?sg!9~E1>V%FeL&fHFK70G%v&6*>7lh;PWYA%63YtVjKC{e7P9+wR3*UP~n z`LUB%2BN#O^TVIEZgKb?|9B=t85hjE&N3bZ_eL*b!f!6XOOBOfdL3EGeeic-JjLpu zngtBumPmA)%l7rczn5WS#JY{LV<-@$WVI15y!6jD-x?YH=wjMo+66 zcVsKAX0qFeOW|{Z0w3xLHzNt=?$u`A?^sw2Y(7nU4VS-?yyTp5pwaICO03b5V)?pV z)T$G^Utxn;{a94No_Cp*<|ez|R{2puGUmSTa^Rf%Y8CZepX_|aDC30y>a5P1?N<1f zP9AjGT}ZcEBJG=2#FcQAXWmMnt^!}Jclp5?A-AEV&z>PdsFZKl^g)Zx`Z9#NO-1LU zd%Z5F^`#dS0rL3hEA_MHQ}+v)uGecQP;p9texYhKWjLqX`762XRo4&uoECcnXWRar z8-A&&m8&v^Ifn)2@!px!s&31uf`_P`yIRPoYQRl|g|C12c1mLROJ{^s5F6y-T0Zmu zA2BlXB;`&-5^(RYv6nJ}!l%j6?lLae+7c=%K38GYeC@8KsNELo+vTt|Y`0LAl7?e_ zb?Rj-FR4OvP_9$seA}*t7oEq8d(4p%BEaUGG|FPoE&DzOAG&(I{@Ney+(?{^e%bH< zEU~x~wj84wyM3gK!4ZL>7f%(TFQH0P)v5`meTJ#sku)wXu1oCX6zmjCU%5sn_D2G{ zx=GkPHmMKmf4)VM=4s;NtCFf1rj_ zXoDH!!nK`X-v>4jFQbM8*4Fn%LmDF`@YuC3y(!nYHNA2`6VnZ=ef4k$A^)dJLtB>U zQp=-P3?pXYge;z1Y1RaCYkjD%3qB@Wc?#AWLid5>!VoN_tKAvV&^{Upr3H!?C{CeBad#;c*W&K(9$Z@_XmOX~6bbI`?he5r zxVzr;_x|7e;eNYo-8(BQD=RrUIeYf(oip=1Gb2{U`scHu5~V^m_|(mEY)=3j?&ShF z3qtmJJJ;82uaPOa_C0;C`?sjv)&0)brcS$<)K9QNkQ2;)rE3uaPI5mEI;5JPL2*={ zcH4epb41F{ik@cO%Wy4F$Tv^^nzVH_`kq9hy4@Quaza|w%Cy2E08hlVhe)T@YiiZB z%FQaxwZx~{Jh|Z8R9WelrM%N?Vu_m`N#ePayT5;F7HL;er|SgN`{8SN`vYRp{^3|W z_u!zf;H0S>1j5R4;`Ds7^`|JXQ=MA#WRD|nG~;vKUFhz;8pwv*@;15AGRvM?o|2$7Vz%Wh zfh#&{F7ift%8tFf-OlFfp*mGMzEB&ckclPjNAG1v+R6gkSjp+@%}gPe+~=uU!bqzE z!nCTbzf_4CR}s~d#=w`6)LL0 z_s%+JY*)tR=cqtULUOC0D(Tp2XbmCiW}dFg0f;IQ%P|AHbse)Dv?P!TCbW5$e{33G z;ssm3d;&k_cqiON#Q}GA@KQBI20Y}i9-1xWW*$!HAqFAY-U$t!O2bc;YJR2DQIb2I zQq3E4)^f~Cr=O@jg}C*wIhr};xE917X)5A9zL)GoU#C}n*9JLJ%P*CoA9HlxW_oc? znr|%CXG=h-4iNn&UIdIl*iDB$h)n!>Wj!|9@=K6{@+Ii9N3LB(scFP+5V*<5JHoCe z>1M#c_gPDvn$zmoa@3e<+`U*6tU`l>9HjK;_aKgR5Um6itXDO1kVvu04o9(JT#*OL zP-Dxf0wnTAZrYB$Dbmhns*Wx-nfso{Tp@bt!7?WktagBS$X6hB5jdr+?Kc~VHl_~3 zOs`=>UzzNw+9YwvDNL_P*t;+)wyQ{KW~OFJ_HmFCg6#EpCwv-_YChXGmZRsmK&19u zeQu?CxY>UL$*u&ONoda91VLN^iJ{OEQ6c#y9K2DE%AN_7^gY!z8o8_4*2SjIn}F0L zvSAIr+S;*PW|PP|tQ2bM)u#McBMdo z{WqWUl%2&qfw###A9J>bAVS$N0 zl@lK43W%GtWVjk**Xd04eEC`cTiqU)^4Qm&tMZUlu+vNx8rkeV^qq^08dnFc$oSwA`Q?G~#UPua`6*Pz{ou z=@Ea!uy-=(*fdF6>ldx@RME# zI@9wDDVm2+U<0EF)TGHcjmqgbI-|B3gWA3)-oMSqgSwNK;dT^2l}O@~hAnVN@E63( zub)R~v(GTc&(;Nz@tYx@EQJ{+Y+FA{FQ!VodSRvZ9Mt9$Ho7z@x2q^?ztLd6JWV;i z?6-Pt;n%11be<}2yivjqN8uj@ts+lkCTgyx{vkZd^ZBT;G{q9>Vr0w-+v_%lq?-3L z%Wm#(cm_a%$g?CL1e<$OsA!2=yMPU@2F?wd@R`zsTZj474*jk6`{{+MLv;AqX9=I% z(r<;5g0QL8vCdR_rnivv7o;!yiL&%F`57j@<&$C2m`m{f#`a zVJ#<1ik^5%cPLXfyZn+l6#adx>|0vOXzGEnb==EhR~e#1HPzxzSqhVXIGZ}NIjG{S z66VxdGf5PVp2Tl|v&_1rf}||-12MD4V|gq|GnO)r?!IL!UATL8v7g9JgE&yu=Khxc zV1dgLLv$>lUn@&y{nHeX8RqWUa#XLz`>tOT4ZSecgn$-D^owmA8QjHx1@*=F1v2+)^T#lwPfN6wWsPLM!p@M0 z-7n>JxW4=TGMGOhwtrei!DUPImLcV_)XI)BxNS=%=dcSDM+a5S36e8=Nse1g7^Lb% z9Ew)oyly27B%OZ@k#5mnl+*C?242rPMl*f3qOSE5tHAOjeIY!DGpE zs&nX8FK^(?@BdKKkdAj?Lc@Na;!@;sVrf;^w+6m>xtHYZny zL1iT^;91yQM~^FPs~L3_EKU=|Gv%7dMpNGcpCu_1mA|U?OhfaXqYU+R_;^2W*Jer! z^9QI52y_V4TQ+JxA7mBvgrc$)%rGQrgGkWQ+v&iC*0eGGWj#iTGkid7{sI z>oJS$kuc!l)5I{O4oc+Y^&3qe1K$&=T*XmieE$t`^dYxg^5vJ7V^|*vR ze!r>su%{L2nu+HvtJ}LS(Ld2mSU6aAlzNM`W3PI!qkA0m0v4B{<1NL-q6%Y~ydx>B zW!`#}ZJ38WrKjncpH80)DM>Op_9`Cy{7z)Jxu6>nW5bPnsST!4paLu(9b1>&8@Aen zn*JEXOSyEC4t(k|QlX!bJ+MdWQS?-DFL&({(3om&-D zH-q!XmZZ1i{RXt+q?V*>ww{iC&J_~e&FoW$ja@0jri6-mYQxv8=qAOv_S&5eex>GL z#ltoo5HYN8L!CgDEC*%@T}+|$W}c6Ow|U@k#)`D;4v8wMZsWS^s5CMT_L(YXK>Ee4 z9;c;I?TYk>@1=;j6@Aa{$;Y^!7U>9RUaOD0Ox}*FuN|e6&;?J0OSvZOun(78ErJj{ zg=8H~rg|(GMb!l819M>py<92(!e)-}ktQVMN=><$3qnNGy)sWW(!DXgL@{VP&*76slgV=0c zNW0YC#${z)MoUCb-hEE0D?4vg43DSH{Pz1}@7J(x24}hQ|E#?u?y<=+Q#nNQo;K#P zM`M*+@bikAE{OH>t;*%oZURYT!a#KN`bV*=wd#U7x)Z_Nis!KgJ z%;GXG1sZ{sJ{hzJ7tzQY{Qhty1@c9fMc{JL{`n?zJzs^w6iFdX5H*l$3G!@0S*~Re zrwyVf|9zRcR}HJ9W`zh_57vL2xrq(E?Egw#6w;ob#FR~{%{$Stq&&uqcbZ;~IMXR! zS4yX=h%w#%URctCV$47;`b^?NVN2=Lu#}haYe5AxReDC=p5aNre#oV^(OWTB?wAj< zb@c`MHm*Qrs6>%p%InCrd8 zqJ@ph1!@B&J?c#o*_^j9)jJOJ@jPc^xs~3us`AxiHBuKAYeVJRwLaHltu;F9N#0an zWTZQ-$}`v#Wnmb?_7HN|0$OO9sx~|s+<%ww>GHO;*n_%|mC1bU_o_TsiXJBzOSOsR zayW$Qu3aT7Jxqw7=h-WzqyTGec@MFBPA*HQ(8i9k$&v2dv@K5Aj#5ydS!nt><>9wR zd?!rZwRWKIdX(bMbSw_DGoacF+Q~t2H(ZXRMfSM#7U}KGbV2S$y1|#sCYoQxg6Vtb zswlSBJE}$)g;+jG3Yi)LV60<$*T38+$3KgyEDv(Bqg6KRJ{=Om#zm^K`Df>n{;#Tv z`lgqkJf$c7pgIU#>Z13Hgvnl>6EsxTLu5y}y3)SiT5OgY$~W2KHkeMGl}_~^dSsvM z#Qs&&Ni(UtDa) zV87Q5h-;ksFLN%NX3s~8daHoZ%eS0T?lKhjZ{C!wha&pFBqsk*+IhRlss#I>O%g;H zwK?m9HMfgR?zVrEi9{9`(<;9F7c$^;PLG?ZMxu31a|3?}5Py7*I zQ66S!=+@7R>|*|7X#e;2Iy~&+|8GL<8MyPmpvHe6#akqI{GUV`7&8(TTjPID1j{(g z@!3GYjdmB~`=3|}?0x^}hgc+f!L0tRjU`yaqW_UQu00>&EMurX37`Hp#s7{8aQ9y4 zUju>v5lVu8IdU*-*+fBj69AB)gGEuqV6VPq_v8J~w0IAyzyJ5$7t~2O`_+~kh5x1h zemb(VB_vGH{C{sxG)65_N;YOPh52%8M=)@RbgaEswL^*vYXxmzzwhH9cn;T_Qw=BTHp z>G&IU&jZ&YK-=c+({t3JlG1WJ=4%F>abIKT%gy)8h}&|$D9B9Yr)M5Zkz3&CwF$l* z*bd@@lY1S|zmBvX!qgQ!3 zN11cA)cHE}I2%K^n?4~H^1B@0%r=DnrR$F$iml%o=e~IW&F;Y%p)@v!V(Nu9j z7_P%lExgR^B<_&uzHHQ^eUl_i>vC15|7oc~OEarqW~}waJw{V9prJsEhkLG@5Vd6`Q5U<}_cRUO^piKy^#wO>7x=o8VB`<{(<`;(dD5a>7W0~Ez z`!N5`0-G-n+I#0#QDPOVkPk_FxVrYwpKQ48>A7PW%QD6d@34Zn`RD}B4<3YsrtJ3M zioZBMhdzik?5lEROmz`I;u-dVozKd@H!YFIliIOKs{=z?> zua{@K6OR^h8Xceu!%&~@4E6|6yKD{IoSI_$cP;4|2`L8`+j#}^JoOlT8nAR>%y3pV zHW2}O>gy>fKG)^rZP^&uxk9Vsa@QF4HP0~N08i>8{F$*-f&x_yA;L9tGchomjv3x@ zDi)<5lCEv{I-@vFRX`0LXXoQCXKv&ZszD#b4bNACjb*y~h=}@WIuJW0wuTb5&HGHu z`Yv@?Dn+DHlor#xkP4yfsklR67>&i%gDFumPf4nd268_+`PBK>&*np@ydo(LGTSEO zs{oIm%jRy{U`p4@ZI4EKeyb~%!m^J5>(}q}F+*QAM@C%Ia);Z@-oa3!yhTQ9Q<1%+ zxqrn?a>NyguvuBzD4lSOk9PX1NbOY}wQ7=CsG4j4?6mW>*qfJ!RkAt1-dWh}uTmo? z3T9RVJIWjKeuXz9`aM=ANWw=K6ciSkn@E|m!Y+-C;byMfBX#}YvhFKBzKCL-EETqS zI2Hvz^p^c8#N>6}ci}#iWhWfvFm!L8(mXH1146e~%P%h_O9W;ABY??Khb*sX8Z7MeX1FbSk39e(O=K z?DUI^OM(8NMn9+f!8)Rt=;ZWsPMdR-2{W$MePwlcbY;}gpwwl`BR1xwGFXEuQuk6j zfET#voyL+d3UeZ6{9ceg8^euX=w-=?XA1fNd#^BeKt+1vKkG{qB2rEc|Da_$A(?>$ zpFyEZjEjz}@-TM-xMUlpLriVTLgEH08hKsdJ8URQWb)5q5W!GHqWs7bqgL76e7Fc1 zx2yC38gMOqlB5fB0g*wbDrM+VQI?^%?}ks_lyptsPD7j5qO+n$(%GP6t<7GiD{SUt z5v#F3`pTqixv3StefxIySp9%po$R`W=U{LQMD4Qbds(Vpu zrb@|W-DeoCOGEy+dr}Oj=v~6c)Ga$uu<&p6^K@KEb6%T#Chc!P)tpTxJuE^3JkN{b zRq~{)%Gp?5^O96vjQni_I9#>ikqgFz(O%}FG>XMoBfx3|4tMmDN4j}9+hk5`5IdEqukua!-P>N0_whEml9 zKE8g4w$;_GH5L~J05W_9*T?};z4PLPgW4`<@K&Inke-k=4dAKrkRXF{d*|VGWc8(M z4}nS*kJ_WQgl^3@X|!ZS3xTxO2BF<8|mwTt#xv#E+!p-EU{ zJlh?C8FU?`#n8SVy-Bgzj|!D&p8$mj_Lk-}{HYCEm7sI)ibn5g!ZzNwd5{P>6`1rR z9G^mFURGBkalyuf9|xBenpF7*?A3_Z1wjhS+g%*Ioo+8$0-R#CdK=NkfHLBBv#B+c zV=uEixr8Qlwa*%3(%$D8g@EjO_t;*yREOx&q7kHHb#?x0ez<$FLpXrJLhSL4JXlSd z{4pDnx<$f>PNiLg0QlsFj+tebMiV;;#C86KIc}|wQ&dROTE(Y)y22#r=LTh(lnGb2 zQPuR!R?OIob^hz0ar>6q>UKf}sP=1s$BW{MZC6a8{86ssAdSE0mg_c@*FMR=D+kB5 zOHZ2!^L(YlOb7MNn>T@L0s2D$s$xRSnmUz?g&)Fy9uXGZv%TF%GbiM-H)NR|dWg!c zp4L62Xw7^3cUUQ<<-^*$%`i8XogMtos3*6^Od$rYMp>tV;bPP6Zk&%DkoP@9*I3~S z80WhyOZBC`(JKN9jMH%z(Hd7Xor6xULID3UZG4=kFZCs^tj`@E)f58@E$VE$d_=t~ ze3K;?tnBg8zmsN_GCW^%qg9GN*&Nq(l3+4LVc}$Sp$tv6X!$`wm>3vO1y9mw^q2*H zS_!_L0kd{nK5C9L^d*F<-Z#_F?M?8o$|KKp3dh9yf~(C+ech&=KjD_%tTbD!{3&}08>>SUzN^R%_O z>;%%@hzCH^ZL)v8ntR%9Q@u`iI+^=UO&wA~eS4D_225?(P7c3h0BE?~U4%oU(MyRI zSJ1d3;c;{Vl13dqG|rA6`U^_DFu%HC2|-p@96 zy3#Q}+DX!N|Ef>2lF?r6q2MxYnXzZCk=DQ^M~?OT4pl9bX^|%`v@;_|)Mrkd45^FT zl&NGNZJirt-F=c_Y(I#~-`;A%NdUbyytrN(ksV_&RHvLFWO~u#m!az*5-JY+9{S1C zqqSyi!N(r+(sV`Z!B(2lD2eUTY5!^^edXdHOgXM8O!PSVb5(bs&^9ja_V#X~s$-av zcb_#Udj+(~3$@U~r~9CQ)v#Ay`<;FwMjXlMb2F!Cj}vpZepRr`jnuN$=hOQWP5?lQ zO~@oZsiz^-1et9sjCu6b&I{I*^z4b^!kJSNqSA_7(&(5oAIp%mdWJBIha1+{=eK$x zL0_F-fDQl@fOMXYzIwdSx7@{3!18ERD;;m?=Q0`BjRKP_kXz>hI8=4%xgyIp)y2Y; zEO<;*E}ildy>GQsqd)mNlViZ-sqn6sNFy=!Erv5&IQP!yYv>4KM%jk?k`{*!QssT6 zmze8Y3a%vi!s*NKzr&ZOr#J#mo;KWyU%o{zV>Lgn{xUM{+hw_yOFr2kUrnCgKXq-p z{I!#0OI$s?uws#9Z|#@R4>BEyA6sG-#AA8cs9Fk)v_~u?^Ht=E8DR+=G!m6ng|)MD zTQ>{xKXnjxGU|WctYCMn`wZ)W_vo-~&Ol08d8j^8UW`KMm!d{#AQ_~C&ouBoqD7g| zY*y$Gfso!2Iy_!RtIKuRbxPw;6@QpyN9j)laJVmjT|}ebk;1%U?T1HvF17jlcGT6N zxD`!WSh#H`@HLt`*Hu!=6rWA#-|(P`Ds$Vvnx%MxW=IeU&v{fmu2x!FTF~28y+5vK zsNa^GR?-A2Dk>|+rlk$jk05`D+PnzwK`ZsOwn0ILRX3N$u+G#={xI5k&`V8{)|(BT z+HZ__%IR6>vON@)54cb0J&zg0k4PsH7e!Lg%o4%Ck%}E)hnnV8nAO49Qb5S=BJ$> zl}JFmtn`PM5o1ZN#R+;+^>QXy5-5>qy=Y4d_;%4ftHvqq16?A;M+5-pZ}wF})^Wi$+@}7SH|c=N{j$ z(@)cd3@Io|^qoI}KfmJ`90WXdb=jo$<`pz-(7Y!lK_^&OX-tP zuiBb=hj@qq-)aj9P_3|x;ez$stgEfww+xoAlc_c~n=p{sUMo{o`^%fR2sAgUid_WR z^0iOA?#+;w8$p+dsE=J{i&llk}^7tS3=)!zvHr`CDcM);PLZq!;c3Lu=RS>Xg!&ydtq z0SAcS(4zZ&r&@Vx_|(^2&lmwXlPf`-O)TS!h0BfxqRm1oT@ z6#*tf0pH!C_U1)L?&uU%%ki1^U4O&MFuc(e6OMY=@}hz_g?i1U;YQ6)!c1r`#iK)Q z(BNp&D@QVkr{5;&!-QXzo0^wx#*NSGM~gH?KxO$(D_dbiyo>@P%lzade)X{?wX!h* za**p(bbXSwRn0W9OIc}HNUZo;FuU#LMM^6LiS69f+&}vyXgqts#*@#8AKsy<-`B&r zmX=XhmznO^P~N$!mB8Z~y*S{QzGq=#U$XvBBKbTwL*wZjHqLxhksXLW3j}*IBBe(?oe=-F%O__xi)-sn3x! zkG9~j%Rt`er5~7Iukoh*xy;lkgSd|LcZTc!Dj!6h4eph(5_p3^2 zxB8NgV2}TGWWBG=er1lAm(UsBblKMHcgQDS`z+D>?{K(p*;Uecx+!A80s=7)5V=T<|MsuMK{wtt(S#AclSWj2FL?K2`V zi>|{2CZ^Ck$gf7c;fBC@^e=~8gKRGsH#b+PQH)^vszuuKZyaGAf&G)JT?Y&0002C8 ziMv=P7vM#-Cp9M;aGaBzjI}xwulLUq+mYJk5fWtp$P0s$S%N_!AwFRS9}|AH`WUF` zL;Z~b^l`F<)p%Xl;QPpd*Ot9|;o!a*7Z7@5p4c&HAi6t;PYkziXhFLxo+<*)6G1b& z{^GAqIuxVZEM)(BCv6A1we^n-9bmji0K~}3hPNO9Cj7j3$g7eSqUI1yEZ-HVAW6ri z@zZ>Uc`l>(^P&cFyx*9Zn%fE}0J7Z9?e~}(7yXP2o-ICtetYAZ?tAH)$y?7=H>dql zLCG7RV{Bc;dk$SOHKbs4$>yG}X)v4OK>BjWO2g%jDvrV!7Xvj50C1&K2Yx?!jzV~| z^tf{t&YC!nv!j;5xSPFv-&s|Ah#j7MK;~6EkyxD>YQccxg{vD>Z12X^x~y+_y&<8e zml=R*SsJ^o`cUOcb>ubn-P_|%s7AIBA5AODfB4-Gm8o_+AJcE@^6Q-p%tMI?G#^6+ z(j0v6{o7iT)6^q8oPiqwNwJ=c7Zeg-TTfBAR@3ug9y&z{@{jOn=x zimbbS;&mfa_GdDXiF)2RxEjpKLsAs$QpttW6)!~ul>hif9-~$F6J&1JAU|KxhQ-|z z1#4^b8Q}jr0mUyM=2Qsz&BXS{?VW*-jX=+g#YN#;U^*B)sT3qzyn#f(CGe0m9R~Hg zkY@YYg@wFVoRnmbhBYi{!dj8bw!aw`uh2N5KmLJCdP(#xI;MrR+^C=-^pIuEf7i>+ zIAnIdJv+yDW07Htb4Ub%ws`v`;b*jta;iZ?Fgh8yXw&yR;Yk8uHMQ>!CVl;*V4f}x)waM5uSEn$L(ib0BZY2llMF5&uY?5miID3 zH$4eir1aYc=Mi9z_ysI1oq|lW9>%e0Q*U!(YtZcYPGI{bv{t?6TIb)-aTsg_Su-Rf z&btY*w^w0)>%guOz9OSK6zM^;PMgiR(1#z%i8;s_wtg4J?r%*^5~&{5f@mOL;J%MT|2JzFjjaYn0Q&Jjp}WOztS@-_Aibx?cwEXt~yYLT3-#wj-~#FAjnnA zgUB6H$Mjk^7j3-ScF2dTa9}$jOvI3wrAjElu~M2KV&+{eI=h6Dib&%S>$&u?pc3^utts7px|feF;_6Q1 za}fP|55LI^*;a=*J_)>eGaZ7e`7yRXD<+RKqx1RIhyjaJ;lO@qPkTkW^A+loxkaBk zB{)_QZbAgsLII37o(!Zz>pP_V@mqgXGI8plG?yM7P*(FHZ`rJBVd8I)7NNVR!|YLH zAzXGXqUw(h1c1MSY~2sxTpYkrvXJ9#2J?iv<)1g{r3fjIhS#~~?`y>&!EXR2s_Mv= zHMqJv8;g@)FS&dXC#Lg-T|LB|89VI(AlZNxNo8eaV+#0O&9oBU5A{esN`CrtLs)S7 zQhLGdRad>c0lBXYCZtPEaT|M@CGggt`psv9sA41mGzeeolYRic*-mzdYV)unHJHAg zU?x)O z=*RcJ0faJ|ploxf01VbP2bUSW0xMIM=+?K{4_U-v7WeIJi!3HU)xj9n9}?vFj^pRL zbcW9@5y40_&iUd;WHGUNLuD^;q4Pt%pR3{HN~5#6LRQt~UtP)|@z3ypZMCFII4ze$ zIK6r3i01YOW=RDS_t}IIg=^b^>5sxc)Mk3dcr~&-@luOSm~*SX%fNr`^}%M z%=Y}#4(v&?-FUeVxk{3@2y>vM7Qvr_&di4U7+|nk#o^#wotNNQRF4Sgo#S0nN@$$j z;m67sn@3yswCh_*SOwr_Z2J$>GSLG3*>MTAXzObH=c(ru^nE@8maKl<2h~CewL5TW zis}*k;bjVJ8t-tgmcN#~;i86B@=jNT`;oz<$%h%+xq(CzcI=mS3`KhX{sn%d4u3i! zQE}>FDxpN3Q4@SeOsD3&OET-|loeK#g3#r;1cS(8-i1peVn{NeihkrY{-=Yf z^1E85;IFP)V+xi*_Y*^svG2S?>qvss z<96sYEnR2Md%s=tABNbEn%TCrNmW_fh8Tr}=(&i%Gb#Nn0_ zN!JPG(NgBhOM~*(1kh_6=s{zFE?-?n#n=3T=Pq4WkB1-;1TlzOteBnc6}#|{1p^Uh z4LnK$RSg2l$4je-$7{&*hi3XE;DA7#Q{TZcr=2B;6vD-jJR`*lP8XQXYc^}X(b77e zSx!l>1l@&ke2x9II8ha1a(V%$m7xvbnwF{Bb!@fmuxJfSyVc*1)IE0q_h7otWg$ru zWblE&3kb#>_fKD>_VD*c>-bvf2d}Gys%K+78=Lqq_p_&*8yff}OX6Dm<93M2tHZw_ zSp3OeQcRR}EvXFCfrSXg5(xCa7Mha*tM?DlCn$x3Z>Q~b;9(KLH-UZ_vFR4ll-65c zmkCUNmfapQV4o>46b=m5+cx}~FsksdKDB=2B5A$3*cnzIv$FxpP{z_!hbXA{no<@K zN^@e6=0c+Mbz%;c{m#(MY?p+^R9uw32yWcH+cPO}?OUN&lQtJq;dLbVpg(vwd+Vr`te^4egZmD4>a=bfXK&Rf;- zY(@_Lntjd&cqCTuH8?=t7q%3#HF5qh1OX1#Ao^`Jj@d|*oaPQZ;B08Os|r7t&(fN)dHwHH@oA1T+rbes+)VzZGHr3~x*9u2y4h*G z;Q?%DAwg*bR1@)mA4FoUtcw8&t0-H<k8yHb*JKJXEE?V)={xGH>rT_Uj&6N%jx$Kam{F5c_Hq z9E-dM%_if$NH&=*WTA!Tvk`J8SXXFg+Vh%8=;7ilk!+JCQi7g2y2-;AdOODnlet}{ z!@Ebd@7(y>#~)t$d-z2o{3>9Tg!Omgoe`YEZo=Bs)-!rHSiU5Qc|4>Lh=z1`13Dh$ zu$-#$0{Qwsk{7h#9HVpZ7PVqD0;#`PS00O8AiT|j^<^ie*)&?W9n?#QLX0b^{G2n^ z=tDmj4Pw3ZyCG#SS`ALlm@`o~)XZ@^W{l<%5uy-KYB_uOYfp7CJYN2B^b=EU)z;^w zV;r3Ec7^XFc6Jwf|1A@Nc6qeY;AV`jI7zCigX5$(o)T6Fr4of|Gxke-q$~rT!n!d8 zzXwGONAV|N9mizIvBP`9G5gIOnMdmt`?>}DG0u+=BHQQh#U2?wePu;OeO;8z)7pAQ z1l)K+qa;MX5~DYNA!FT@MD#XR9%31Vq5)*TuG;^8cjwrKW(8|(jQswIF0yom{qn>|}5>s{Lk zOSlYIHeJp$o8!>h3@C8#!dJ2D1;d0*qRQejZzY94hB_Ox%I&HagwGGvq8L`h=r1t8 zOY9x28VY+y{Z1s#vSDUV0k0>J!#KfOhRM4Mlg{1_tCs@YzRU1!tWoh=i(UxGK+k(o zw^Q&Cyfj0H%BwY2T-Q#;d(B|JMqyN8n}Ij@^a*|P`CDt`uSePCJwlvgBd_AY!W?GP z1G0Um*NkZQP)Bg7m?;Dlpjo;ps*W#*L_o{HruV;G02a2g4?#GKCyeEeA8;=&&_|0< zstKA{s#CL06uGvo1Xyw;f0F|BSEerXn8~SvJexhezx5=$)#r_*HvF2zv~JNM{<-CZ z@fIu}#;=0wN3=tZYupv77N07`#Px4haCm&`+snARC?RC?Qo#+%h_!~7``WJyv4)R?pF?WwP z^!Jfaa`M7GcL-utJ#5v~&p;v8=%@ZG$Z$rr)4gI!(5Y)N11j?V+el{v7ew+V9gSDW zdv@XCRt*)dKO9|e$g95eAuw}qIZ63BfO-jZcJR#5 z{6R!YtV+3^fhUynj@aNLrZ?2p*(XhNtp9KP*NNXa;|2ECKJbx` znO{R&$k4gk6|yiVpHodpH`N_`Z64m8%M6cp*a({rRW+qZZZ$%sgEV4rHV$?>4gO@a z>v={wK{@nAWy7zWeX+^DJrSL0NEf}12*Jl3LQk+T5~4!O=cX+8)y-7N+UdI?i-Q!~ zY~;cGNg1|Dut5n8Fzs~k0?+>>LA_ol5a5h}5$*k6r|Qx4ge7vkkG~^zn&BY?;cXF3 z_AY`(wX;=JlvJ;J!Nm0IWZ@*Iuu^Q8ANAwo(tl{F5~(CPOWSJvAVH_AhGb+sbqhgR zoG^m84p*<6N_jg!8c2EOb<-8*rN7~A{YFNE%kzs}T(|A9YqImD_Yeaunh^WqA;0x! z^)qBKcge3>!kA0n;1Ai#cz5sOel0YFpl7DOzPA&B$){>@Hb|`31MXz3d=)b^`D|AO z9_8J!HwMd{;ZL5tw#HEWNzxUabmEM)ZB=8_hyF#^Dh6`_Ou9?tnWyQIVdDne`yoa|pVzG)7PsKV;Rj1>D;| z-|rOopf2|kqIkmh+7|A|nL)AxZE(slk*S>q{;>oJPmQ5gC(K`@@R&noygLY^bkJoa z3(cvPG8b1JFcUWYbGeSDoiAf4p5<+{$%@tYt4uCm@J?Q`*sAsy_kGKw=f^(HWbZHZ zdhv^3<2UY~C@@A^ZnwQj{)iPPJ&yb^SMcrCsQj%suCKQy`0sJmK+Vx_YU;eha<4+% zzGjY3FE?3@R|gb@4e|7zK=I$rkkmmXZQ5c|OoN?k_+hkFr?DKit`@!Wv_%wx31@x$ z=A+fN(_4XOvWUVp_l*>>@o*$32&><(hKCN~tkSE2-YMnzIC)lNXZzQ$i_1p$nU|d` z#U6`USvpHhnlHQt&UesKw_7x6(w;GDmd-W3CkL{$@wTn?I5Bh4cMUelU+;abQa-FY z?L~y&_K)a@1GNH&b4ySpq&a_cZyaT0B_$5eK_mgb$65B6~hN6nJdO9#eEz(Frb|zB-y;X1MS<>{}|C z*=gy7UI(adYCZ4Fe;Qet4$luph9m}2nIZ81&Vw>%wY-dmaC_PnL~mt$ja;QxKdyek zNb4JTdD`nD=?)f|{;8gsZ^EWz95{AtnOvmda~9Z)wu;YlHLe&?==OA+?eGt={tZK{ zuO}#f4bqPc8*lG!PvCpJ4H858<3>;tx!||qY<7?!myv3$J$oWYQ_XDnII=4Aw_d;S z^{GtW7c-(|C3_yd@Syv8ec;o(;`a%R-L^V!SgTl{i0t7;h7ESpb?7|;qcmE&8JKCQ z>2@|!B1>*pq{pMmob4FUBF0Q%XeboAv%AZBaRDnt8IC{6hfCPOWoch?KGAsFsmS9Z zE`8L$TnLl`w|Va^&>&1IN+YNCT&^zeF3v31rk#-&!_e;bw+V1*7rqWaS9{G|Je6{Xn$;tA0?^20+XvMQ=NFe!=?SYu-9V- z-dk>^c8Oe?zu@l=OG$aHMx)O^2?c$`e*Ob7VcX@e>2egXMHyi)y3adEb5VP{=R+rY zjpRRP{@dBwR!Lhl!%vQdXhwD&^@WcF1#5r%w=MgIgqcoQUxIbtXnJ2;VLt(hGzBk~ zrH!_WO@8Mpw%<@{vLA4bG5k+Np!&}bOLl^Ui|Xb{BK~pk1^+7rDv3Vli$p2~8SI{i zNjP8Ws|rDo`{A?w_uR?tZ3_m#-?kzrkgSzwrYoijEvo;`EK`#9{9Gg(JjRpHi|Tig zz*jb$pvDM=6Z_V^5vJFv|54wIa1q0h6MTz6T(VFz#lK)W!mEh;M-KvujSLC0pU>6J zB4?Ka#@mQ@?!WpAUp16- zGFuhH^p3?B`VU#^pi*OEWl5AeaR^g{;nRFnn04Z9zT!V}=t_ncg6Sd>s-yUD&} z0HZAfIf@E__=SznQ7@?a&(6`yANKVW*c~L2yaIGj5P>+a)z3xs&bsg@R%v!_Z99d2 zQw6R<9QzOQ=+2M%25X}1&6jzLkmrye1i8*#Wy7dk*OjfQ%QdsHml^htZYzE*i;rs7 z@^b>$O~bD0wHvwwC_BNnhc2V)uUD;!$0qEyt#>MghcCM0E3vKWBXX`O3kk&F96R$&$n=!pPk7wd4C5%8;2j|4RU3 zJ1N9cTbJK^bzxcdaN($q`V@ADavtH(ZrVhdGWcZ+u&)6ZyXZ%=1HFEi`4x1n&TAR z57~(j^p_;`!6pT)CtZ3o+osp4+4=s9~|FN7LdZbb=I_mhuN z<>gJJoZtn*Pq&p-VXag>qgQgQ2J5;OINF;nT89G4PEp^o8e?H{_^kw+cBH{P z#^20d2{mIXB7OSbL#Milc#XoYjQh1f>UV`3V0z-bdh+l|aHFU_d!zCiGAwH^VCAI# zDjfe(!SWbtMxq&vhTobyu|5?^#Wj0sqdq|$VR}b9*^@Lq%=F#o$2?V?ir&)#|Hsi) zQvzO-o2Wh#XlZ`_aa4$gXl|u)Rg9NomZW@0Z(J|=1yPb9%K~-8GTldpRf(Z_LTr&V zhJ?#E%5@CLtvOgNAoV=j_qwoZn18wZ!`c4RI9ia*NX^O#vGsk7b+fg!vNqacj2hK? zB3o>%GTpt#!=j89xAki^!RJI~)>uJv^34#a`IoAg#KwfsP}N!f?L<9oy>Y8%u@Dq@ z4P99UX<6CPui~Y^s&cR}Y_ldUI7CwL^&MKu6lx{~01!!?F)&L?tS~0G3|cEHo^Mx? zFkrMQW##FqsVP=Aa#&7d6j~;zEwlUY=qQ zNQy@m_aeMBkv+=$1Vhh1VBYsE^h?tlA29u6B`4CCBX@#`uBzc>Y8dySbY#~^{w%|u zf2|k26G{}rz9L;()2m$q#xuzYBEVnqR9U)$yQcil(o?TB6&h<1 z!ydX`rds4x=sVQX+m$CWAr7i9;IOQN3t}iN8gzy-`64f$HSZX#cTdoL1w(#Xi>Y%9 z@#c?iY(Yw8@Q2$^!I6ASENEigY3hS8iitB@qk==-l0j{-6>GHD*E#Z9yEhNe1L z4hlrgnPIl?fNNsP?=hUaVjI4GrEq*=z%1^tszZ;AL| z>s*b#Pxem7Ij_PLJwN$&ep%g`!S*PZ&!*bp40%d9$CXH2KjU`6HE5msa+tdU*ohW@l6;fMcy{Mq2Pd-Merv7A?vyhi~X1p^=VhiMSi zdN?LOKd}c!%@!+bzX6Oac;q5a-WJ@QK&ToKFLU)~(eC8=d`0vIdr@8O@gV(2)lb&b zQ}}$D4VOIm>Pd5Kha|dv43Zkl5-Q%<%6)WlkESa=u>UNf6#c<~{5p@*6yif%CIOem z59g2o&nlnWRW&snh6gEu$KytmTi|O`qwW9zuv?90T3Nw*94R>mloAoFr(acOH-;G7 z$+lI{y!iaQCiJOq|2ATK5h15s>GYmy6gBHCcNA;J2qTu!poa%EoRop>t{TBW006Mv z>ViP1LdZ&G|8|-xz-` zR~uXy8FUB;4cCGlz34aV+NHC6z4G<2G8Y9SbRCCHvcoi<6e_Zg)6>4p!9W zt6O<`+^wgZ!^bYpP=G%Kuh#Zo+WuuW83O|T1m+nkS|o~Z|euS6kj9EYg*SL>yd%f;NMPNo{+M)T9GGvwkOO1161W!0`wjCCxAXW`$Jl( zfkR|y9-v`Ohalee$FNz?zAl%=7pJkVwQmbD4)07B375LmQt3~HN{H2asx@oIadE^; z$D#p6fhiYz^Aq; zeLX_xJL;sf1mm~TZ+gk1OZcqpvz$X*P`9pn8&*Zz5^_L4kILsqhQ+GyW%{G%knr#H zfiAK}G14}$SU&3(btuaRf6N)JhNMn9Hct6kJ_qUqGQwhQsIs~nWE=D{BiL+bo}+5? z#02{1yWGxX#Dr4z+r!}0kI%AE&$9rV_Lh{r1}ghp)F z5kLdzRv!CKC(_(k%A40}!fs19 z?&9|z_M(F9bpUQR*c19Hfudb{S+L@vP+2e*r0pEGGI@MHve04z;uwe($T)1f0mvmgMu%@i~`7B{5lY59-Eifiw-D`km+ zeMo>m0r%Fn6pE(-E@L;Z=C!VNMKY|fBI!d~qfNy(@Pg7>rln_0w2x<$G%=+zna7a<)~`G#~&D zk|$ykjv9?p25R`4K2f4pGa`C8O+&BY5xi;BThRtkvQV9b&!qm?gL}@Ht9T0<*0lAD zyGc}T>0UCQ!Ci_99fdf;MMZvWt&IPWjL;And5>Can4Q1aEC^fS;3LMDWAq`)D66p< ziq@Su_o}kuQdHYc!Ny3oeatv|Z+SH@z}<||%2AKMPo842rLo5Q0`^!@cRX8D7B#vzXl{>VBR> z&t7aW@3h>fv$Mei7vkBcSE8I%#N-S#&FP^PWjYQL;t^!Y+xmaROfk~fu8kH380a)5 zeEZA0G*%u*2KJnKs=pEF49d}yDO?E zvr&}r%ivv4d|cB9LCgZE3Yd$3?sLe$(3eP1?O-B-?uz1$uND(Ey$ z*{!#tS3`DuqYWSE2UD-;(w+y-TXj8tb&fGd9UAEcasOc5Lw zxeh)P_k9VWD;_%o_lWT~#tFZIj@aK5*!#W}<*nv%0O(1D9d9Osl}wJB5Iv=<4->2z z?`&^VDP#0H!m2naMjf$*FR`_nT*!!GR{X0Q9hx-Zm3v1=e?)p-igpk|2$PBlFH{oR zr`Bsx)tw9gcrQp*n4QkH2UyGLL^?APhioPZ8&T2Fj0i0T+P4}lYqV!gq8Bb?HmaOn zSEZuE0n2x6{}KfnBq|{>lW>^sU>3hQ(Mom@M{K(1oT_sCmt;+Nfcw<5dzU*t;7LN* zwnPnds^;k+E8?x#HZipiu}Xxbc|JTI5G5~(6oWd#*7{GK% zL$0w3E#Pph5_wV7ztE_%Ta*#q`{Iep6f^5P}T=5Wd*Y z6q#RaeS<|JIu*L+tm7YTEowu#H|HRtOeoi`2Kn*GBsDQB*m8EWOLBPj;U zycy;+b}vo1?z5W3uD7Fr?IfjR;7|~RKLb5P%VhrFnp^r8zCvZ>jVp*?wTltU2->!k zh;|nP4fO*RWlVfFx66sY%GFV3-5+fDiMoxBTQC4b4a~fXR_@R5t(RCA9|V9KT>pqh zOSKnvhwe!VN2xC74>0JW`B_TgLfz976za9*_@8Vmf=E%YaIn~5%=zMm^}@HY@1qq3 zLF{&F@E9GpyB{YFUW@sp(3ec^EX3Td{o(H&er2VnOBbBzDH!T=i}^cW%O?!Ubv3kG zf5T-DUiq|RwZatd$I3%Qo4I8}kxj3>=I{ z>^Bm3u<)um>raKupRP>A6xwVbarm`d$sjvT$26I!Q!j zrP_;dscl&13dW8PqM>fbiBQ{iWL$T+qM|*GxdvT{!b5AE|rL{Xzpl zOv7$5QbSG|dvmo~98>2^~V|6s)+={=p`69WbPO?nmzzsBD}78cuYX=T z=rSL%V8V6dX>TadKhuj8E#)R3LVX(9OU3mkQIW4hG0I$KgpIO(;y+UgSRA$mbR0#YAAza z=|4pf;0k|s7^aAi9fD1*o?ZK{-kk>^A(oyGt$t?R(J;T&fh@}IJNP7>AKNUm^F0}m zB(y7w_UeTSFQRiKF1_MHK^pwG`00A&eC)9+QIo~Ga{S08(j18!RazYo1lrr}@zb%z z0sx%JdsP9g3_5I`S!L*5p$4jIxfP2xG{ON~+EnGA)bBDfQB3yfV&>Y$?-!)~HLuF$ zKmF|c2q=A98(e;@!LiDGTyIq2&&iRD}b&b zM|#@mJST1Vr9~}qQK{HquH?Xv)duzd^FvTyKd!ue$p;R`*`%BRsg2L;0|k1Fl~crx zAW=<1T#U_T>w`IwO>*v?9AF{)ne8{%Fd}lqZt9xG{916EfvN!uf~qurOWI|DU~HFX zD7j3J(5mzLo=p9TF?i>v2oaXg=BOBgf0HSKh84;>%CSR(zH1g293rvUREn1~VPiQz zw^rV#uel8c&z(}jWuQneA|}_d-paNFOoVx|i@+z1hyS7n!pD-?%ob_bNwDs7wANd2 z=nH-gox&<%9Fb8 z^_cDHT2Mj_k0iutf%oOlAGvhQu+Da4GmgEnbH?nyMWL9gWoHE^-uhj<0Kozo9r5&4 zEv+zV^0c3{y6*6-}FmALle|IN8+q6Bo=qk;b6L*WP`yfO`i zN+}=^cPWNmgaJEP918U>8 zGpUNtmZ_Q@dmUyX(`iq5FmS{>nInaM6)eAZ&@OM-)j^vaGE5zJVC@_0a_*fZ*oGmj zmyIYItt?CZ7Slmx;nq=Qb`1P1S7VsRe$NMoc_$pIS zANIgdW1zxtU&f39A1qqjz&O(E*{)iW51E@pfcvQdmJy3A-iAHc3Rg>>wj~-;nOX(n zdErW-wF=nzfmpQdu|J&#_O|JLH#=AMZf=(kZhvms$_kTw6Ne)=Jg<9bKCkF6gP2Xxu+d1q5p_~8_m2^|i z{LD;(?psFC_^Kr@gfguw$-e9xx){@CE6eiEcBc9z0zI=dWS;FO{!gl7o`27-W^QQS zq=vF!Hf|uX_FVIidbs$E*bg&LIV9Eo1*Hoyqn+1fGaAx zkMYVS3r83fZv`8Lf9VprtLu(B@9duE8%2e;&89{~p^YyO>?_3g;}4@ka~vz{Eibi? zJ?)&voN!6_fHU(Y7W)NPSy9N*;xT4GxF|-Kf*lctekY*1%sXrWi>5+PetkgVf;cB1ui3rNu2 zXyfLo>h6W%9zzb_;|oZ&I=JHEuhNv-iUd#Cj73fXggXR6ax%aLL^VDdqR{7@0Oz;i z=nN+Wz2h=EdMG}f2lbsjlt4TK*zWE2StFe8kC8k!3$amk0(VM0()g( z1&O(YNZ)5{$vSn zdr@vZAOnVNrejAEv&ob<_*wp2LWrG*s|=A zqRjW=Jz)*;wDha@0y|wpRCdK8;Ip>Mvh-KG%PewwmXjp1D0#9tX^L6LM`hNiRcrrZ zhHAvu-gYX_MT_U)InHQ;j*(KDCjv~@x9#3R-ht=e6}<4NteaoVvW`G%6DzvM5bm3f!Us*c<+QUmsj5M=PceO)DK-uBf^I}X*S!WA)dO&B9rMntZ!zdV%dsl znFeT<{RKdJ0zsSDownt<+Zd5iF1>m4Zu>R>2d?e&x((+s)dr zx~_cQb88AiYto2!R9J3u&)y(f`n{ZoS#&l19>1-*PP-&luLok`z3^+^;49!BKqU;&sW56zEdl^5j%wP z(q|Dg85OM&jZ+0g$B8~D25!aY-67dpn&BM8ZI;53OlAuGXoqX#x^W3)Y<38=Iq(>K z_g}gokxy835lHs4tH%wGY0K24g;f9mX{G298uUiHlG5Vhs=OJ=Xn9Ch1qCrNi8yBy zbktWx3=JtC4thUz3u)v(kM`u7PZ$sMbRr7+Wz7}si;8v?GMf;pv}ziUe^b2E;Dy+K z42eHajt!(&vbQ0W%a$9B@kdt?NMwsweSCfCqcv;Glr<8d@HoGk=Mbw!&a%&6L9cdKx2>KRY0p?TEz*=d zyCe7|xfPI=ti<5Q9f>CE)O6l5AMdnX=u%2J6mi*0r`s_ss+nY$sV(wo82z1u;owT} zjJ;?GP3G0}mlociV>&NXC)H+I9f`OsI$d~m!ZDHtAmd7i^D-o~gfa9O5rRz6XN)p_ zxKn6?gt$-Ybiaz<&Jjd}l~HQj%Z{rx{Hmr~PJvAG85fOk^i;Go^(PxTlHf{05{?@a zW-d1CqV6tM*;=0MtA`f~`^;W*V?Ga>&yFvw!jqdxY)E|dCwy*lzEQL58;zohI^94k zSS=+?JN=b*)8Xm7KCt*=b)T;?Q^>%Am4505IJk{49PAIg0MML%^keGTzgp_i5NFRE zetD=z@FA%R`r!Pbp>VP8CSnjWDDIFY>nqYr4G6Ylo)ykB`>5Le$qe*uO8y|ACo+lX z_WghWC}XJaLj=?5^hrap#*U~DXe#iN{{u&Uu(4iTM_F%8$Rm^RTzyPuUG_eVXUi0X zS}XBt4=>@=8Dhj=UTQ6uW-K0T`r2eyRS~Cd6cf#}*d|>G-T;eFMdEjWIs$*(bTmhI z6n4ec<)V^w;WIK%eZwl3YM=v%h_V|%fgLZ&>^^iPGPV<~=&@kpwOuK}U@K7(Fbo-q z2%#2kv(9tp>YWNps$DMw*-*QQyahF+Qr8{h=(HGdK~=dhc@|%A^qy}{cGoS#8|%}A z*;)b#MzX;M2PPnQsg;1q5?01jbt_;@YM5Dw9}BR3oS;nFWL=l0&CMS5gC{S>ZpdA0 zbAXik(@AqvNe9QfAZ-t% zOPqUX^sS?>%pLpJ7wMR@Lv@pv`Cp#^@NB-#ZoeIf<$P-{4KBZG4n@g90Pd1;U*P0( zWNtn+tZpw;e=p}i2josDP6_TXAdN&5&S$?{zsy!XyQ9ISAnf1f)8cT}NkE$aV(vf26~B*RcEsjayij%8-Y+g!ozGqqxQr~n(wCDHbXC4-yj1h6Fm!(| zSvATJ5pyR>9+Gt(i{aDn-2ttg!3H-XRMPwKKbGtD_fqG$)=&jBG6A|X1)8+OHn9A9R`Ka+qfl#qD00d8=!f-|ydvSRlrQ5Z6dU=_`dYW<3 zVSOi~AtjY2-ymdjpXheax`h4O{WTDQzHRry$1}+LvgL8yhXvdV6z#8%WEr=tFAkzKtseXy|PQ`fKpG zB63{K=Vi1;pZZ5P!6dLr0(13KJoWis$~_d zq@va5&f5U{I(FU2GKpv>&e^?p7aiy^i1W?@al>bqX(cW%w%Te@Lz1~XvDtID2W49- zkUSDy&kn<%FPWU^^l(ZsHuTN7X%71(rL0_wzWu?3K1K6-3}X0lD#pz z@QSTse_|gbzSyC<^c_%+E1Li^1mMT4f(`i6jwc;r<}-(s`SSqPEx?)oyX;83yOQ@E zN;eVKjDWq{%QKuFQ?@Eub2AXse1Ub{u}<;U^~+a#@bNFAQ%&cUST$8BQvg)W($KQw z;fw&G_uJb|#v98GY?t6Zv8n~uUu6Fqag)^X*gsr=^u-M| zW!2Tq3Fz@vZVn^{h-D={Kc|$bB50$?XCi275}%U* zCUA)zMjurfjb=$z+r$LJFQ%W-Q$vS^8vK{HR9kDS^2lTidFgrS=vZ0P856BS{h2x) z{D*gONF#RnVIcv(@4+<<@NWfbK5E1t=p>52l&`b8t|Mp~^~YsmB7TP}j7lRqUybZo zaCb2X{836oJ$StfDe{B*6z*zbYA>(v|DOZQKVv?eZ5T3BfO57Ut~1{J=MRmgq#)VH z1;hb#eFHNjOP^G!+p1v!zqqEqyt=u$JHK4S)BQV<#N7`)cs_D6CLRt_URGXGLKWix zyAIXRt!f>3SG9*|+M}gV^>5cQWyXkDz&FY@Ux{I5$E&V+|CVOYx`mzB`R;`uf>r~@nJGF^`5=ve492m$e zS@53B7b4W~(!ryX(ZlUI#Q;Y93(_5b+u>~Bo$y+}ht`19&m=H-8+E7V<6Gt9Yc8jRwd$;f;$v4Y{UR~i1dcm!A;SxO!Su?eLrBgtdZ~={4u!h)#d?3umi>IYUWKFyt*?kW!rR?xwmNvVFtlZ_~ z{H^wqjSzez%dMSx`7Vi}m*>Z%LFv;#XMEO=cnMIERi5qa+*ym%1hiF@Rb|Jy24J@x z1Id~;5}vLYIdQcLu1e;gmO~Wsqb@$P&Mh|{{g7;!aA$7!sWUt>g#6%|;X|e~qzv3# zY-j&7SM6N%3*`UnqrOz9QzSnzxc{7x{2_UDBtFpGdAl)7pDN+#gUMAks_=-?>1_N< zF-r)pyy0KFNiHuDIr}%x+~9Np#Tdg76#fNb#i5(>>`2M@``7 zWHb{CWp;9`smJ?HKplZfq#*$n$E>A=RicI7 zxp26a0P`rxw}+A~&HZe?;m2!dPcOG{Q_HvKWm(k4O5d?QuL-hUor>mI`nBRy_m9da zZCEcOKD9P%;;0S)fIu*{%lo5eMq`28S0m#2@ML?pYIl0*_Yfg)iT|;eg(t)U^kiJV zY34w1))k1r#8JG|p1eTX(|0FvQo6}h#WYC-SAl_*FanOA%E(hi4lwa1zCE2@+uE9K zwSJ;3`Mb{G=w)hM$yd;B^1Qh^hs*m$G)cekyz`peYCzS|_-ImG_|r#+nQ$1V^YA-a zS#j~8Ram3L;!%N4LwiA@=i9Q2jY#z*U-Dz5*k{sxEln#|Y`!z%pKm|< z9UdLuZ?849%Rwq{P&GlHA6m8Ej~LN!oU|-Fjg$23fPd?0+U$~2U52ulzpop2$c{^Y zCjG$Gu!Y5XZe#S_Cpjn~%)CD$|M>2foTn3)eqm(`5TWV6iLdM~OGmu@ejTmgpjpV2aiyV)213K}Au*ldYTQo2 zCgTf{U+qGa)C&=VuUUvFxf8VCWvspjlV@avn=F9U#(K8qTk&4?EvgOjXOdUM=@Bv( z?n0;^=xK(2l)4yI6nw#0qnXx>m6q>qL9frk;13Ebn5+m7PHOOdU(a}lgQldW?(Vq9 zatxqJe}cdNY{hQR<$LCIK1M(*0seKO98mC!B+A_TipGEb?f>~D$-29O?TBgON-HDy4(K8qxUmb@ab!MYv#vy9XNcAk5A7^ z8SGviI}5NEA~U$9BU6owG`OqY?>45slD@u@-_Fj|Z`b^SG_yVge^4_D`T<*%q}^p* z<8Q;Lfrr1<@%}m=;bM1%?_(6_fb(k$3F=fUcTeE@q$qME(99=~7L>zZwPln^1IGR~nUJxaR(s1x_*2_n~$MM(mu2;Jv zxGD4mYw^)>QPX<%v7q~m9}psB2&ScCJLq(l(sPFgGJ9dNTN*S<>~E|J)a178-1yOs z5<5BRPPd=O|Gas+q;yphQS1eqtrA?F=AZoQ<&DQoM|DvCEz2@~-EK1Q;(;ogg-n*5 zsxp88XcA3|)C*|SSzb+3#bj_u9q`}uH4^dbDbFVw^n~%liI&U ze;rJmyaG{TWBjz$@-zVwuejCiYH?JYwSO4eV&d`}TQ5al?{F&R!>@Vf2-K&e@UTDv zj90(4>11YFfdbWjcV3_lD0vd=A^?o<4jMd2A9ot$ph<7Dyj1sf_h7N#OL6$!*QD$aiA*ix5_b$}^Q*A`iu`GUA#4LzW^7 zeq#UGR_f?B%Gx*p#BwdU1(Zr5+qX%xCdcfzO09%0(k40u7(;)1w1jx_q=>m)v59Pb zMr{gz!cm#V7DLY`v7CzWYLu~rr`QH5#l)%KlLgtU-mX8<6@6dF>geG`VI2kEcM0PY zm@#fw-kCJRG!dDtYL<{tQmkvbng_+Qn931!eLWU9NJy#W(JU>fw3aG1bLWv;qSs2i z6z`BmXcJm462b7U&6Q`-I1*;6>GRj@g^1V|H&Od(;Q7#nB*hW@&Fq<>;kl8e%u!3GFs>R26S2?TxIe_xY1 zB4p9wYQ0D3Q*h)51Ye8cL1tj$dl&Ky0mb2(zBo$Alm8nT5MR`;*RvIN!s69%rU%t)U>!EbS7m`!UYkcBhXV>#c zYxWv&cDfCF9PdKPt~-x<4md!BkRtEr2z9UA=lcQ&5KfqByFuMRUcjwAR2B6-1=7oz z?1^>C-yJS~&nMmUpAC_DkOa6DEbo5KxQ%FG{*KNvQ_dOOq9<)z!3JnYMuT%Z2SeG6 zetxdAuY&xIEH`oU>W*YA`w3P|U+%0d^HDk)+T6&{fay8KwSQ2L!0^-;0QhQkIHs{K z$}Pm96gph7{O$HX3g!fCZi8oDD3@+SRNHzvx~|2-k(B?YpI1PjXJTb!W+j1KtiOE- zmfjsExyntMH^1B2$A^pZjYNr*s$D>{G3Ytu$X?~5wO4Zn3gnx;ds}-+NWWCJPO8T& ze#h3PUYh_OsJs75_Z7h=*R35U9X@!+?huG?r3^**xgY9yOBPZ}z`}<0*Qf?K5YwM0 z;q1XNc?rsyx66G=3hVfc7M?Fp?~nPR zfw}xmL!l!bCRjSvsLQdBD(W6@u@r@j2U1L-!*>Q1^!`O@k+6=I@hz~=eF^PLWKM>1 zR1csf6QMN<@8sn47!WKUfyki%0Q@N$0sK-&o|aZ>GAV#r1)#Se&dqjINhjhH~&8NqIc@5Yf;B2 z7Cs1ozFW~v^CRG^sg4(}5rNsEa`rePhsQScCflk;?H33@GvI1%)*!~Py`i?&*U2$g zM?tJfQ6eVB7Q@sR@<(L~Gk5WEdP|`E7IN!ZCjYnKsXdw12fSJnlTw~aJDVkdG_uy@ zL0W1bc5mulb#b|GZB}|ZUPhAjL%h*+yTwit{hvjL9l9cuOvD;rwGDW~fgmkttiQDq z_7K8ZgHS>Sx`MXj%OmC0Ui0OXfR@x81ODNys}Q#e$30t1uV{>-rPlJD|I)!NY;C~Wfz4L{ z7XXOvXkV2is&VnyIc0!C{fGwrg8Q#@jtvss?igCkow*o($}t#zJQr|2)zj=y2pvRE z<0oJIm@Lgdm%%cs>(}r2)|UGchn1grhnIx~Detr-N)i$HMZap?))vu)N$5y!Wf;(w zJO3yNJBWn8T%vT@`F7{gP{x9z9aq+-7y8o^)z3+nwWT@v1XOiykZ}H->vq`*1%r4z zQ(9zZyU};#U&6(hO$GZ2;4#7a%)o@JA6U8Rd-lbuQ+zz;>b2g}7eykr$2h#uMlixt zy-}TRp=_o0>^@)LFs}Sbsk|E!i;J9A3ZA142@-%pEydD(h2_C+vD-e3?scy4HI=Jb zL$S(zb+s=`b{t6&Em*Nbk`Q3po(Yuzk=v3TO4GSL|3IOcT%il30-#>lV#7>JDm4Wv zY{RUUGxSli6efEDw+_L9*pvL~jl$cK`Fb!-Z2kP*wQs|MZuG?Hq;KsFaqE4`|18N; z@%2m$IN`qHAi*1}U#xr^U22ghV@4t80yZZC7*D+>+_$m{eP?Pn@y$x;XEhNe4JnTpbbf()CV-!M>(+uF~{( z<3&71_jhpc(|64ZCDRGZ9~jh^UO$|`lRw*ixabPqfA ztmrs7r-$uTsW)vK79ts0^#+6aKxW#C#E*)gIQ?$ zlFcfWxF*1Z2vGCdz$Sg^63h+XY>1IG^zRw5Pe4f>MRlrns0jBhEFhWi(+L6`@8Tqo zABk7u>f_AnyR)nPmf13d5DrU&so|$(ehm=$Gu4mOc#)&zpfjC^Hh;?h+F$ud)_<3a zLN~^yFq$CM#XKh<6h$v3PoxcJ1o&qfy#6>w_4<#Jf+yM)^gY5v&foY&MESDHU;F0# zydCNo1>ydZ>2FRiJdW(G5?9g6)Poz|J4gSXCbrJE<$G&?8Q&|m;wAJKTZVE9kr$i& z{)lK&;ui^z?FeeH3($1MG_kI6lID^{Ns7?_d3-v};^j!tT~z1&)~pV^b1b=^sb$b~ z9;RetPO<5w5}h@ZF9hr`qjxje5bo96H?JmGd}yTMbZ3zOxkJ5XG6eeryfI81f4(`G zA{Kd@@m!L|T0t?Q&)FluI!g}yZtEc3rPnnqf649^vOMLif z@Oh0{?cIUdJdLVf9LTzHt9nxyKK?G`x$_^ppkxLT3+$~DD;Ht?Wzf$@Jz(V_Bg>bk z8oE1Pf+m&Hq>35(5<#i@D|HIYT>r*h^YEcmEPfgo&Lm)I->%Fw5~a#3z@Q!)PLl*CTPOuH(Ttd*3xeEdkW*~*ss@XX zTz&j&wEOoCt?IsWMlY)Ro{v}&D&rKnYl4m&hVpA&U00sTYQO^?8!_J85L88La92`L zda}JF9G%1t{|V`7+aEGfkT`qceVCbp)*@fxZ-fV#t1<%zEII8mXg=Y3<7{!+v~ucD zxkE60tHxU;M~d6aIcCK59WMK7}jVVhi6cRn$QBy7kY(Ig9su0hLajueGnAmna45=VQ~{(zUEU z>9*I>3*7dAI}5TGb<^+)|LOshP8VGXlRp{Pzx|jgngD4wES4tqA@T*ByR0m|*MF$r zVGVr=gHaMV$UAm#^{UwGI4WvFzx|7*px&UEe8sUXi+25Iw2gl2G5*6ce+(K9 z5-;bEUZ?|kb-gi;I8_rQ@&<+{$u;MI+k4Kbr4LmPI3T% z@INNavxLq3`E-q%z{`Fns_3whT8YfjgTwLK{}jmo6!d@Xpa1)5Y)}I28iP>aCeN!? ziU`=TTAFvCNMgP;QgnF!HyD^8Zk~2M)<#QqY59dhnB!yX}P`(ryu$8KUu{SOC&*C zJT-^;#kN5x|+_zrBy!k2Q?S!Z`RbQY28c*WuXdAwBhl+|@p$rjCYlv%an2?M=>p<{!b`iZc5hO`k#wH`4qFDC~@Y}7H? z{Es8_@G1}2H>a#8u+J{9PiwbW6;{vfZyZL6A6HM4Kf+=NcwG0FYx?AhQ9wE&@1W%&X;$>_ z@{UG=?oZ7fLSIyNU&U+gW#*O{VN|XjR(BLK8uf$r$fVqZT9kMsQWkK-y|79(98HM7 z-|lv9R;*_hF3ZJG*jV6RxC@ugte#WZC54eGd1hqJ=`_>;FvD{yqq`2C!I9oX`jYQ?|H$}>{R{T=<$r}uhkG$(Vp#w?<26!d{{O zrPYCq|170;JbI)3sN`j;ySO-pZ#oXf&))|AsV8UO^%&}ORHl@eK_8`^L(>!l7SDDF zczehtt~1XL*QYL-{vQ9tLyPqosU>IMbzt5f z)cQ6^YZMWFqivMo12syuoJ_rwVYG6y#I!H;hfHs~wb4nR*gMb@@YQ0Gm;*qdjcXcZ z%ll&x5F9yEY|xZBcR5YHKN9N~47;o?Uya6C*O=l@`*?cXoWj;1R4^R3*gcbx8*%efaf z4&Rffy^baz=5dQ2Ot5Tt?dMZX3PNqPw!x`6@Ff3J13{2v)(ehzU)f;M=61mwh^Wf= z`)U9zGBLNede|Y-hrhjrx6jdJVYKsE%mpHhS^xJp5!~7D4q9kNU8P>Yq9T5O(GMz7 z?5)2y=E>ndXYqK$E-w#2X$tsUwxAZRmf7zbk;tnJ2RRo+7aNTbVwLA=IqlGGP6F#C zrV7VO9_MSS=_h{Gxh6q3b4}qU1)L10h=6IgmC|2te1Q#J_j&t2b;#3gxuoGZG!@x> z3r+uT??%r-R_lP=N=am&*Cb*2; zv}4NZdta(&{Lc)T@^1rE?XL90S&x=r{vHY{QE_&3G+T#n;u~oOCcYImq_BFwDse5+ z9d;ti_I^?dp4_ZSV-=}K?k*>Z3pe-+w3V*^JXgsK#c)6}2%8T18^y}(&)UrHQnv4} zw8@dNJ+cUfsK%rU+dU+FMagM!-x_e>sC@Ms#{x4ME&W7^)IhMdc#&A^03*^#als85f;cGTU5UOE5n-5{nHP7 zh)ZWVKyXVNQcQIk7>_$49h>4~X4MjikG$ebKFYxCME6ByvvM~n4%^nJD?cfQ=Si0Y zyOYo8M%y7PgBXee9Zd7~1^$*Whein3c|Ci~zF$i&NeyY$HucBT9bZg(jkDY!|6x^r z!FVx#=f0eH%&2~H4YSesYwo&SX8|PN-}zkUA8N|7w%{TjN>-eC96+}*O?=@5p(}}j zQ{l>j0>9AhNXBK7FYZq~RJ4@zgg#SCND|Q*Vi67)02ves1y4c>5k5jL+~;l@m5hTb ztk(O}#RWaDeVfY=SRG_1ZYYkM0{Iy8kX+)SH;* zTDO&LlP^tYn_k9`gMsIG9q-9(#*?Em;2;s(QPZXeu!+y<^tNe1TUK4zatzLHbAyk^ z@o!+A?Z8{I5qiJ=aYsJ5tuUXd^YIfEAeE)GL7E1hi*^Z_A+#0G8J%G(QDBt-!WU29 z_Y4;m4;vq!5OYqY&2s~fgHHPiJ%QXUfiP!s9V9&CarF!=B2U-t3VeTU`4uAO+{M#o zn9bn9Wwm$eQ4VEJMRRn6C_O$tsH5eNhmzhv9J(+!4=Lm5 znugx|s943~qL^I+yaVI?n;l&L3J&?5ZXQ9KAOX~w>u6*CXCysKwEMn51n6W|Fk5QDLn$URz*XB+Cw)DR@N^V!Z$9@7{>8W&@#!1a+*p!M zR1fMQLLL8@WU{y=h|q{fGBE)wCl-}BryEUfVL_dU>`tHy_CT-_>%I1^dDm|sy-Kjo zZu8iQs;z|4u)%R-)kiIK{aQMw>tM7taA2;VCJ9QN-p!1nc^$v~`ma&hVdz}U#;fS< zTz=JAR@GXRxnk4moy7xJ{zrmC-Q4`yujBhm&*({9s zHnIaNTW1&oUAX*4Y^^m;(l@(%kIC*d*+9HO=nct<2&F{3t-N27f!^oNVV`$GmYvQ;UHWvu02Z=X)R`mOf6$c(@p>mt+G+0NFo3K2wJde zdnS=R0BHC+NuC~2cE#8)$!GNZ37p_`*{_%=E9Ga=HCmrtrN9JqsQ=V zmFcuq`D8~QOho~1ShM^XIGfDAE}__RxQ;K^m<>hed}X3k>Q)YbZ={%Che*+F+8cHC$D7i)a8ns>sTy4&e zMtc#XIMcr6k~nAKbGp<+eY!C1A{y&`Qef`h z{|H}E%yz6jvyrz~GEs)4zgGtUaM+&GXt30%5r>MW5+MMbLF0`ZZC+T*&Axjn?nJCz zRU7{wY3~>$Nz`=TopS||lYt#C{sjVLXVJ?}tJhBT(1)Zpd-k!^^;vI)%lWuKikjfzGbo9rO zLp!U?BVC5Jh4YXsXKY&=VH9zA5%QE-X&Nk68RYNB9uGH20@%Gza@ zZoBnU>|6dMZRMd2Ig#B)O-g#cx=K}+JfhR6zwfk%A{^o<iHZOm-HaViRfMiNvD(Slu zd6dTIF@XdUa1R}}2JNpGNP{|{k`mmQsMBOC30jTKH6F}?P2Jj!kro6xqS1y!xce~5 zNAY%GUWTWK%ADwldyrwo3`k?6ed@OEg6u>s)*?D_x^;= z9U?oOhM=P)8s?d{SSIl#WL@gPu;`+Xvx~$9KYA1AHLbAo0@nC~{{iu)?d9mv6S{ZFVPhIDu^fJuXy4Qc-i%n{&sq zXU~`B%e9M3JGoxn$iL`Yc2)!4 zV#wo}7mVYQaWc#n?_odjBU$MX66;?WKIoQ~me%g{n;szr&e5+VF@^Hx%Pxn^Czo}5c6Nq|X~b%bI`7ambKd1_N0Ru2_uu3SsK;^TYrM?T?@b{T<~``w+Out`)(Q%=40WB{K#=XJpxVx)Y0aii6eSW@=w`=YygXwL*{dHTXk zLViYi=9%#;fsXQ8lRs(H>1tR%?61bE9-=&8cJFox*I}Er{ob=dFiq&~L=l)KmC4#= zBv`4Iyv{-}*tr=bgRxYenT8GH#iy#+KOiStP6xtUKITmjDZ_XKYpEs5NI$Z*L{)3) z(N`f%gS9jw^PRQXQ8jb7+2EFyG;@vZCRgxKso}lrGArumrYLRi*r=!yeTzl%6e50} zRL`fmSH9PaNt5nAPHzG}p}vUzOz)_)1jMj)*U$L0@DOrjp_f%@>Zrdiw-oq9WU^5q zAzV&F==0^w%7xob>xhW-yC&WJ|L{C9BXxHoPSrmqQ`59yJv;G`Xz?b61Hi;K&wS_F zYht51S}Eu=FBLNDHn3EA$3m{;6T5%pz!I2alLfXWD1{E;~!S8DyW}NpWh}ru!M?w1J>QHA9vs#Kb=dDD5nF&n5zCi)}RWhW} z^kjC@^VF(?>3BEUY8_3RjdRxDp0y?E^>bEZ8BUjpjSonU!%1dQKZ#@hO=0B)%=`(< zt;?{A*mvnijWz<_!yP4nPg=ifOF%LHm=11s1(W4Gvjr`$mjX%mGeR`Dlh5F-#MJ9D ztoqOR;0!iMPe5nsTi(6V%j_7|arf~K7O&7Pk$)-R&t)e(;g+@r3YK%<>3sMkOz{bQ z&Sr|Tb?qv-6t6;y>GC6%DDe$Fh!3qna!1WmGC@#UwnBkb)7wu%x9)CmK)7sI65(qK zc1goINx=Kw{}8OsU+d3-{`GGg94q55^Zm!ytsyLh$$RizHM@89tV_@0g&*sluv51+ zo~^czP=LvMQeC4E+oaptIM%0odp1xa19BW zX8l1KA>BnO()zH7^Q{u5+Fw4dQ9J)v%U&2vp5d@_n9Kg?ZqeHhppEnz@8JIIkm{|a zYs4YE4)MQ)u`CR@`VJc5ymyvty4z5qD`x6a{HT{ks{A-6klxxIr@Hm4bY;&+9FX&3*vMc+s{SM$Wa-R#V#j1eSNv zw@?J6lkdNO00nKAXmB^3?}YQyu|7|LeP8yD9#L$wr{eOKBhAFNVcmga=Yc#XE()a& zIA8#BwVu6p3Q|8`*I)WuM|rZ-R-krw$eqDx!r2_fVeGTYJJGNN1rj9^FlvEq)*Pwf zrkZXb<2$+1JggSCvXrOQXSJ6xyOCJS(H9!!&!9GJ_8$vnr$)h3TTZvHy+()P9A5WX z<79A503ZJPX>Dc>cf(_!q_e@<7mFWsTAf}m7jvWBn{FiO(Hr%01C$+$Ur+m` zT7O-<#SlFY5y_dc(Do}BTCYZ2i)pnwoetldj1(mwt2bR=7jlgNHM2W^ z6YWJs8szAkAAD8w-XrEE$Gj;D-Zi455q_^6e}qLzKhYHKb)=7j05E0N(o@L3*LP@O zSiA(D9-59bkHqIEqwXT;63TTw=UHydu$XTyS#}2*th)fMjP2T7O0*WG|%?`q(Sq$+xe>WQ^0dg%qht?G# z=22Y4e1AJQfNY<&m|r|19B9{*y3TKzLVca>uaI;J2zPYy-_UD(miw@ zrx3M)qyP@DKfz%pRySj>nldT_RjZyqGLTDaEXm33rYX~1v;1E!fG^EMBUfoi+RHCsbuV9HGUaDn#E?9VX4*rXRl?%`Ldj64PyW9ZzzbOrQ6X0+5`wA?X*Gx8fk4=bec=$6p++t;WVT z2J?8EC0kAEj_Uf~0TWv7HiI_WfUY9jT&HNid82{urV9pQa4l0 zeH~ao_lCK|JX5fq;he$l_Ff)x1Hjd4nW{DG4euSeSFHyI27*ICbg!~XrkuRIC!KX# zA{DVp!mas>fB+mWW=cqJ{JBB?HeE4dfbRfzJB&={&R^PxT0ri78V0Ng4ULsxd(W|K z1n)}Qn}=7C8|$#M*$K1NR*v2{griaxy7tTC)#`=>3R9LgtqGj_I`=!RvbZ)%3ZLXAP)%E*K^LD7wzZ{Zz2) z%ftQAXkqe=M@fds{;7&&KkkBdp;ED2<&r}X?YjO6TGay*JyhsN8eCcS=~fN@riO+h z*h*VEybf+z#$KRzl*YDo8P5a(Z^>fIhlkLxcFpGonGmdP3+(vSo#9@yb9ggo*01~F zj*@)UZRo)l)g=_07B{SnfLmNZ|2{jzz(yB<4m5yJrWdyZu~uKIu?@Ti8Sy?Y6Ce_N zs9z#_OGqBUvvY=ufPvkMdMh2B>?W#jiZ~F}O!wT_dWNHxzrEh(;-2D6jr=ba7fV!e zbo%Z2M_9b8*gtV`I9f%8#n$XXjBZ@Ma2VU=m~hJI>a>u{`2mKLp3YO1_0S7ghru1% zvjwX}y`+H8kRMs&7Bov~GhrBV%kZ;XcRQ-m6hk639tcj|XbfXcZ;+0?W)U;wojwUl(*(hCS9Ww_NB{5zYfuls3B zp5lWc4b_u!a6rvrF8UZ-vI;!ys@YASsI5oWVJmM!krekIZoFBSA_Z1hAm|-#dY8#b z1nl<{W6VQs4s2Sr9zc}b&XU_Q`k3)28zsLsixb+P4n~O`D`fC7XHMU$b^98IvRZEE z%)KRo$kC!zj$mhSpPN}3F<4(~D0*1Hz)uVf*IhrIsPQ!c0Me$zp*+s#AI!gaAHn$Y1CN(rmp$vM zZ=|EJ5%_e9{uKX2&Y-*@`40vz?+1n1xaPcULm*rU;fA@&KMXJRrQjy!*?7i~%Ehbg zdgdQI6-*Yzv!BGa7jK|1Q8&L{f6dh@%pU}t5a~MaT-VV{QfiB$)r&5Gb|iK~1;mnN zGr1}K;*I-`CS&~ZX}hZ(-=eHi%3A z#Z4Ax!zrLXX&k>poBgJim$fn0-cEAs+4ZuIXvn<+l28tzc=4s;;qk{ z03$|f?_Sq?kK*5&O)lEMUR+i74yBQ!yWv#jg&moLI7awk0r>G_fU-r?kCnTRJX<$h z=OzJvcL08}4^;;H|#-KE=Ck$O+KFVW6d|H0OH_LMu%3c}YJbT)V47x}Ei zj^z5|k^`vQ67U%(IGt-0}a7nM&~$`rALR&N1-m(mwNW12IdT67=c2iyC15vKB7xVgD&8EAq7^nI%@ zVF>0R)m_2OA4iiL1}=S}Q=l}qQ&0OsT zN7@bcStxM%p#+^Pvo^X9k2R_2I%^&6?A$z@_D7?AJ&UL}*>YV7RY?;-7tEAM4aS1$ zB~`WE-^JULyenVg~2L+6D=-TjpDRr*q^e?L;Fa>fq7+oTcOh3%CdY!`MMoo3`2tX(2llkdaD`k7BeLNmUrjaw~A!Bq@-z7 z;WbQ81A=6=1p*KfF*M|_#O+6&&6Rd2A0;`xqCM;&1n!jl78c6O!GQj#Ja@;T?JlP0 z2Z)|$ixo|$P5#zTw{JF+pa37sUe?|yVnQi&Br6Z5!(Cb-PKgHg0pHdw=44vt;JJVp*gH&(Rh8}XXO5{bG zrEj@|curS6pidskV2obUY;@~uWQUJ579DY2kD|2e?A&H&g+tV|6>W0#4U2<-F^DVo zZkUCGhMDA+0<{G}!3#bkKQ_LmL|kv4=I8x>_*3(fmfnCc6DKnWVB%xmuypX+I0wil z&s)xq{8m{Tq!Qxi{ssf@vf_1_4_oNGj1tvph#oyc>0)27+#woGDmS;R)|C<8QtDvh ziw=#gUSO7bMIfuw+5GZc5*hXnc7!VJE1UYMHYQ5|em`S5@j%C1GW;_)!oox3#cV|) zI+ooGHykuOZ|Uz0G3}9P1C{yqCnlLByzWfJ-wd5#!;s}7=D=>l@xfyd`O%P(ug9Zm zBRRF-H%ej8ZqB>AeeF7s1jf`9JVO$^wUT^@as@2>8PcPp+*g2jnsVd?tNxkw( zyCOjU#rG@v3LYoR()j+h3U7RYh+lLrO^)j}*of20wF65741SRtP=jRI&NxK$bY{Y{ z=WJx7F5*$VE~!8QMI@yQ1W>Po%nqUQDdECmq2@1+5L&Ng0?A_u-x6lfau$b&SNop@ zT^GMyT{$-_`44Fd*S=}ea~KW9=CmB*Jx#ugP+^>)>ein>cj6=ZsLF>D86Wu{5Ay%J#_?G(Lgj-qF8_GgE0eg`X<$n~RVMY+ff9uhyV{LZmHiJZ`^urL_i3CS!*QhumZbju z(R5e^HTyb|64#HdCu%uj_1aiIgtr0C(7FvX7;kPIKvLW4$}S$8 z^<<;v{yB?nv7v4_bVK+D;wfkjp(SSDz3;?0|Qj|lz{&)5gUBy~o=(>3@ z_<74QG~O_<>Qr@w34ZLL@Ri)UFQx6c6oG#0cz<#|+CTnYZa}LfPD;9XLw^jn1$#BpRcm)HHMbO6Tg-`Cy!Vpdv|i<_B;<9lTP4c&AuPjh{= z#3|7O&tGdQu^Sw^pz{bX#YymQni8r@{d8oUJK=|!=_1P!SI^QBT-r^XYFC^5AcebIvBN@J5t#waj)!Z-OksON<}*XDJ0#5GgAdO zxodLhJaT8(QytMH>~HF5(^tG6?+2stWU>*!hiu_27v}%t6DZ4-_g?;jOq8E~B-0ym z@^B?^3jSx7T?M<;qwaBGQ&r)9u2P2!XbJkdX>vXPlCt-yaa)=gNF_;lR6tLpv(f2w z-ugo}sCLRZkwIzOig3?3Q?t_C9j+9mA06-Y0=X9zN)0iqxOr0XukJ4xpt^$Eda=vF zLch&GUWVj-JBp)2``-gW0SM8UWWA@OBeg=svR68WCOd;J0BG2R-9>OE_E=5%7w zuXygxIOxicEP(e^KRwf!t1Gy6ZTHJ5Z38Q9gUc(YNak8iDOf&XMESN@k<%2t-@j9$ z?my}KeR`^D&dRQ@J$OHNxoo$R=iIw9YtMj)W>7Fg)}l(_mt5uv{w=D#G5|LE8Ufg-v>M>yRC2t1*|<8eJd0Bv!1HMpp~LNj?bA0^dX+3>lpw3*X~D~$%Yy6f!} z=7Ekh6Z*xXKt(*Ct&fr?)X`lWP5Ak+#%RKy>b8|vV!WRFx6c;}JlXNcUmml+r?J=v zud|ubm6($$L>gOjCpkov!=JTib@36#LcuMM9*1OeuiQaF>%)I?@ia5hz0Ad`SZ}WD zEfCP_)4jNOrK=AU1XpiJj#C}pJx|Pah^j_E&017=q9_9wMVr~S{Z%7s8R^sb@wZOq z^k8n}B-!a7A2!C5dKf|fPThHDMxRBMqV5BgT09(c_aUNsNsE0y=P$uX{;LT+0GdKFp8+1EjUKUm@ z92FUC&9{zDf9bj6^DBP97zXHJJa&)T%ChNuq%3#wLtcn3c20-*W;S-8Q9vCuO@+XP z8mOT1yaYpVRBl_KzmXN#WCH&4cdTsB%+wd(%tqc)W0+}=kIC!4*bJ52kON+)oV+~;kUD@;AH`OS{+}msHGa z?IxK^vOE*)FHigWv0MAElilN@O5&*qw}#8-%_Hsy_sg|kgA%F~tOS<*zTuaW(ET;n ziLVj)98p8>&L)&}K_e0iLpF1_w=u@tlm=1H8ToJ}rKQ`{#VsISAmBT3GmZ{^R z!9a3{SXBts6Oh%={z%K`u-k?QmHRgwc}_+)Zp1}TnL6gmb=R{a`R`0FCTtfq&}~)^ zZvP&{Hj*xJuk%NJ=+fp^7OhykeTqR5Rn1^qIBIVM&NMq`|G{ob3$gR7uU?4~vqosE zJ_2q!v_nMbEYl+IE%*MdWFPHHY0Mx}kS?fmVo<*JAp8?&p>G;T)g zX_YL`b4?RJ;Kcaw$#@FtPS=08!K2hYrOsA z2^z_%KL0-EU%p*EboaTB@4iIgKhzrXaS8MbcT8p#>X z&4igGQP1~YU#U~DzZHIMvJMYk&2W3TX0WjyYc28l)MuT)YSkshT}peS5A?uI=PzEi zdq!PFG@xEvtDWwfSAOVNJEP?Ar$DH)xy+hxa5@iE^&rURFIKHzxj6Hi%*Vzu=jfKm zU0zh8FDpw?mSRzr%X%>{Q#2TEg|wMve>WE~0v?Vu&29L`8Ry9^85lvH7DFH;pVu+N zl_mv2NayJfUe__psf>}432&T%gva^mN^i0%b~WZ}+Kyww)6JmM=*qZy%v-aKNAtrC zFB4l%tW+ID7Tj6%9a~TvRowC_3(r^gP$up{^jv)@xO$6Q^4P;*WT8J27vzuM8DgHO ziiTl|hQrOH(HFC-S7abr$IEesIX8;kg%?_QD;>ObE>Y!;I`{IM`6Y&98?xdrvue3z zw5{#SbYtgwI<2Qyb#|qqO_aB0vD+s#*SD7UT#BAFqlOvVjxDl=`(3O|_KM$tBjav5 zctdBwJ&+OjWS#lvR!dbDg|UZH$>@Y%Ooq)B`=Wy;cAeWx#8uLxHVZT4h`#p=E z&M~7+V5^(Cl6}stys1}MS>DL~N7?CLHH&@!jgFKHCmwXwgGt+$C7>PCN?@Tqi9Sd) zod6W~rkI5ax9$At90y2oRgk)}vhpOQi`!|s;S2YDO3OKzzqamp!F;(3sLXR>rqu-o zhAIilAB(b7;Dy*-Fo<12JV zIE%WFg;XAW5tkQ4vF)e(PP7962x;%J^xPTeSc42$+gJbqS0(MdXZ|>|X2vE*%0njX z?^Q^cPC*0aO8`JBy6|;i2?L(hT2k~u*x3^)faP2j3{ZIN)@*v<1q8j_N7=aI-MGE- z^*{i>ohZl2()5_rn$@xD_t~qK zgnM_(_fFb1Ku-Hbl`KbH*7@>KLX8b9{O^AeG`rXO*xpw73j5e56G(L*jmmG9`Ditm z^sRS<3S?;)d%9oib2BqjIQh$81=x9<4_K`4j(>UHj!hQ!;5X`HvH|$)_l_!vU&gi` zS)IC^s@wH^Hhy$Q0Dh09)h{9qh;^=Z$Tusuej^DDbQlOR``K%}W)_e#KbrjlmB#r_ z?Ega0_XZ<6cu=u*0Ckd@8>Xv8mfe9~8*Tyu=ov|TBkU72Vfjq+;Ypt+72P^Dh5!KU zuZW1b*(!3&$2e#OY-B2vad6z#e8308RuTPGdHJ9&S&FMxbxkGkg7mf%W>Xcm-Qj6K$1aj(Apwa-)tgn|Yg`8|S?rrDVy)ftiU$qu z`t@C9jM;A{VL$$2Mg4GkE+AMJz6U|p9A3pl{%*BHCnfm5z+ zP}Jg!MD>ir;*x#F5hkev4-!6S4scK;hfa8C*qFP+yYiT2P*kyeRLC+ge3iN~p2w_B zEWq6icm4X3g?&G#O4e8Cq1P=+Ln}N-k zcr-A9pQ7CTb`E5fcVf?`fblD|X~vVpJ;}h^EZA)Fwx|y4Lid1ym!8}p39Pqv$1Gnu zF`o~p8vq(`fARRUs~Ciu{d$noTm$wuPFFI;Zxgsz5sD8<7G9u1?#*QSa`O}}_C}45 z`L_R!J12URW&7XinH$M;jihGtYTzkSNqd1c3N!L8L zJ6nsB05s7m9=D%%7H&^V9MfD>dn$MuciK{j?*t8w>cA15-X5E&AbeE07UXvWR99X+ zdvE6fY^-BRHC@zw^%=Ns%9dAGXZ2Evg-}BP)R?D0o>!yeu-fjNfG&dV)sYt?UHL!@ z@<@Fga|iQ3-}tf}Gc`wZ$8ESdoOc-Q`;3C870(91skqaF&a#5e(nuoqqTeFu^g@e7uSOH`^vl@M}y~ZjRhlEH=W!moVMKgq!Yi`V;h1vkl2ha zKnMA@%w()O!zw*yU93EOhi)d(6c4DLIV{8I|FRnJ#@e8XH0Fnf`vv~sK9|rh5OR!E zZvymmqem=gQ#W@eE&r5q)~X2^kuV`s1@oDjj_RS+M`!Yg>Y07z$O_Y*Ps~k=8aJuA zo+v9-_KS9*RF@b220s`UpEN{J7xd}?1CTp4kX4Aa+or;mL^MM z*DtS>&n5yZ&wPrLjwcCds-_?!(pLs~OS|*7kXA+EGab2JuN)jlQ<a>$3M!07 zWkeyAd-hdKOx#$L74?p0_emnsVu46}9c!c{aNZjj#tKaicRI?2OSHCW(-$VjDR*6m z=+5i*yLg1tm5vPa^UO?G&*!i2Eki8UI+?FO(zN6d-|DLyV?Co`5c_73?b9LIu;j!P zu6H9%)*F>MA!-^LCmTUrQfFswlh>nhD=|&p3w($O6--rtvO_zA2aUllO<+3Mncs6T zJseskZs(^I56TsA97}sD=&1aZy>;CF81Vv>lEO!ggMe|&rhp$QNeQH<)tz9NMW%Af zq~>%4-sXR~0PK8@7jrIGyWT2F_N`iQ*Mo?WWer4}P2=PBPuNf{=Ce=DkjHRV>$S)H z1N7W_Q;*x}-S0w#AU+|VllT65E3CrAp;KDlP%ZrF?af~O=F+V^=M|RZ1Qs3j0shR( zl`NK!07PUck)pb_vdzwNyHyd%YeW#HWbOsqJZ0>3a;)pzlG9jn{81PZCrxwDlPVdL zouogp42hO2iilb!!U@hy+f;D|^cBVU`+B!W`C*jA-xeu?Ba-o0)NKb02NCC$hZ{Z) z8S5luE{~SXH8VXenQY2Ok03=hsm-r0D?bURk$jF0XjqOJJFB)At{2nsoJnogH_8I0 zznA2dhP80X^O#QY=(Qh%gYb!=`(|ZboE~qaDBm&h+gvG26UGGN{K7dK=VHxF?fVAg z;~1jGB%%1cPOEqaVZb^bsxC+l3dN;f&~VdwPm{*Vum(%tgY?*KJ4tM=XB=7>k`L%lKA+pat)}LI#^qW5!m@ZcM*iS; z>bP?&O^{-^1O<#?YJek1-WenYS=F<)$@<)+TQJTbUF*xS3XG@G}0Y3dKth*c{nvPyC=C{FaR&u`pqJr49Nwo2LdIIk~h zUyx-dm7UP=qL{_F}bozM2&bT8Z=8wpR@C5tC1%gMI&FwGYu91p@Q9 zS*JBKFVy0C01(!7M1mIDqdq}mGU~{*&RkAF1#X8QK}rWM<;>U>@F`VI$G}J;42&?h~4#97yqGqL+9OQS|a7!?W& zBIKZBtax?hk?)t-91ZxmbBj-vffDDl+POCWp^ii@r=6*UoDKlY6&OsM_!i{{VYtJQ zBJig?<(=JCO?&xGJOJ6==Zo>?hSU@8lP!*|cOx>hCXn9%NU?szNVXu0h9lrs?h=z_ zu1Hw{d@2OYT)ux7yVjyzjM1|~8aX8yZMu08H)fQB#Q@^ml4d<8aQ+ZC3%tLkRKW-l z5-^iu$UYOj+luesFPAX)8!Z>dmYfoqvJxT&2<0OSM|W;DSmYYras%kTg@s)x6Ft*0 zUtRZenbUnU^)izZjpqZHd6HB<)xm*5&X%}F@PXii@{5B3#E6YR1@t*ECNgr?hgIV2 zt1Dz+4Zp`$z{~w5$)fr1xS!S&N%Zrq#w95Ba#r_11Hf%czjz+(RaSXhO4%5IANlu; z3y&_GI0x!7PKy|RM`V5xKy!Nvx}a;WzgK~NgZ~K)f8ATUtgfBPUqz(SR~I$Lr6+$; z++3)tKnQ{ejS066&TM_2%b(|?rjQA1Zyj}QESqV}p4=Y`DqgtA_O;`3BJWPF+uUi} zy4}`;qw-K|y-v7e<7Ls}G?N>fOIYaI`aMvH*e7A*S4p2_J6=Lj2?NiX79leo=tvV!;EHXfx z7?yWerZz{8X!D$zpr^GBR(1ub5q6Gu0#Zn>r^iw2u@4e&~fWnr48HKrR4# z%VACzvli?kGlUpH(k1dEp7w)9@N=5GNGJuz$avM20Z8Ax$VfqMfRBa(R|M3gHvpYv zaZ=rIGJ@zV;#}^^wvQX1T!A6>tydysDV;OP(bX#5U5PLNikN}Vbav3dJcb;A9|Ka* zHNbt%KHz8AnPLA%oD8~$l)hJ0Oc^kPO32XZR#~^_exBH zsV!3uYlei3+{4=uAFNiU1}y1O*fI$rzG7&J-wvxS=dZvNhzSr9M@Zk{O`>luU!(xY z-w|WP)(WH_{giQ?;iwji48OR##OcvN5K7Pb*4HJq$UCU+du(|T0L(81J31Juvxl9t zKDZU*_LO~C?u5kO2xA4P$l1IXdi(}~E{bNby&g*^O}K8oS9A_()WY$;yBGmop*2PWDb@}O;T1+ zj&3o!p3S?tqUut9Ba~nNHgwHZw=U{Y0C*%v2CO-sIvwTixPC}a(O%XahkAU=olmF? zhg`$WrtDszOd#Z&Gww7criAvH-_vwoJ9U>OmC9~=b?hB!uoF=hIB5-BN2S8{6~nJ< z5he)RUpDgSQX8*8?hyr3)9*^*8yp8+E5nh5qB;vx2QWe6?F7@M-HtB`U>KJQ2oTE1 z+r_J7a!EUaj5uX=raw*^-SejxSpfLolBRXsu>l+yaf5}Zz<8zBo2U@k2=e>9V|2aR z#3wN+4dUh=NmF`XYsDTp+Lse3`b@pTJrtzjY;_LxqFz4*`N31R`G zZO9$n+HIb+qOg>tN`5hPMZ?Wp;Y=Ph;p*k{1`6{6`sdEg^Yf=xbS|E1ENBwN?-0H_vf3SXfnJD=zHLC$0t z5L4qZxnVT=^0jBn-~R!=J*DN91rX@(uwWR+ z0hHmktk+weST6TdvO{Y*#y=gducm%Ra_*==uL}6URc_q;GSa}K8vdN`XFSCWYPxUI zruAC4O!{g5eiwlNir=6nmXjwQTgP)8_V|_bXt|e_qNxtLHr0>vja%wc98_j1o?3WR zmyWJIW={2@U4PMgXmT=)Q@l4H{V>ORw(#}}o^hig^qbjTBi2jlqLL)tAnCbhMSBXM zVA~42!crnQPlOc7v<(0VqND^TMrBp+QPidTMkw9Am<5E}a?a+|Wv8GAv#m?yvbCVy znxvNq=;Y+Vyq4St=^fwY=k+pEi;EXwCMTOAD7U^3{v^>SC0}K-E-cQqA*rn1?<_TY z5F!Q*&3V67T3UKqzbB0afewhQ3_v0V@jKeyuxYF;!+<0;j$)eLtc{|hKl|lY=}tUu z{UpArXMl2_-MYMN9NjdHeV)NS#A7ph#xi6ym_VrPnRYr8Eq{wPQk3dGeV>ZhfY8AF00`j1+?U-bQF{d}8lxHGE#m0rBiTXCzV z8(Id@S9a}Q?SFQHBk6tXXn&*b(V#MFelVt9x3^5c=(IG%$*G>y@!c(9!VbH0WTdn| z_@ln->pp*cu5n__+}F4po&#&?a?JzE$PY`J+jKDLKyqLO2?^Y`|=}h0b32f z`;o_S*byXdYnxNV$%U~L)OLIH)B1AB=J8@zS^?uGisEpd(XiX)^|FIP=wz{#`A6di z5zj(ym}z5l9quzF3pelEw=QOqpbxc(sf1T)Nvl21BmZE(cEU85c1edl9;%436d>yKG&2IC3^|#SqaCn?a%Yw%>54_a7u6=+at=TTVhaHGv zOqJC$op>T~zyfpKo_c?_3jo-;z@Ys5{kt-3NofT8er5nQX$zQ7 zZh%EN9$I*^T-Fb5#5y&c2Yi#&umfE&y(XQO8i3dA zr=bY31)l?iFE&fU!@7h4pXQgeE8c?leVxmLfuZ5S?p|$|=)Y&`W#g~Yh?-Sx17xR0 z(9vL1>@^}J?CS4SBUPTV+BjM1sxcQYGnQUYriT+ao-P&)Rh{~u84{jUYRxJ%Ng-$B z4}dVxbvsqucQ9w>x3C@TjI_j5Z07g1C|j81Cku=e?4~v;{7ESD7G8XZXiD^j0_G}vZHH%eff6=O3Q8U@ z0u*->@A#UcWg;GYV)2Nu3GxyFF&DE)k6+_9dn$+m52)WtM?=L+Q?&wO3Lf{$x_;m& zgKi%Y1n?9LiFAch{=Pxn!~QaW@-XyJ&&0^xTS|WTjq%vYz@vHfbzoF4kS-Uyyy`Qw z-~F=(6wujN{V^ANUI{*Y-s+V);-$DRqKcM0*3r+%M1Ci@DP8Ru&mOdi`Vg;06eBAX zy8nXlv^+w_C7G`$w{c=x_r-aDn{enrvi0JXy3Kj;z$QMfp(Baoy2J|B3OU57BlD*t zZpVeB_td;@GuOY)jQ>ng#+?NJI(ZPzgb2sR>qc7GT2*5eddD83289V?BDa;?vu%?X zGSzXN6Va7Rs<}JnEIvqUT1OF9;Pg7;RGi<0Yu8=p&b)pHlx zxd--X)*x%PocxX#IN0TX<>L>B!;0i;BG> zX8j`L&sCax8-=CGTl#6%qM_RjtmR+6HcF}d)kC==cFmQzvmE`NpRzoz$g=@!M)4 zizEO5pwoV!an_2ETaufGfw{?5$9B=7E??m`78CB<|Jjeii>T|IRTo}$*44b5eKt$- z(I%@NKNVAkY|%9NbBEVWIKGAi*nLHi0^N-ax1ghPY!Ha85$MHz?p_I|W|m5i+Tl$hjKJ5Cfm z>>Ces7~|RYF*DV{aj{)kSUM`99#wqsPtJCeip{=b1b!ujhC1gdw3i!>+;f#QbH|ri zRL39gJPgef`Q1}9&o74kfXT+cxKpTzW_*gf9j}aq$p+f}N3n5m}sODYQh;2)QC)$Y{LJxvjY81iWgMfg%KCJx0Jp)RpBe@gPNiR(m&T3 ztcb0;tPZ;6(A~n`WS&o*zOadZOTGHFI+9=`CH^1I-Z{9k<_jC$v6G1=_Qaalwrx)A zOl-`=wr$&wdc24@qf;S8mYAM{PJ>np>?;T zBSbJUJ-MXf{N)^0tJsqF%BX`c<*TCiFI6|etM_kjIYw;5QxnotV^Y)=6J-nUj@} zrczi~3{ohhktm>UV*jihpB0yqJy-uaeN0A|FzM&ZtG2%x-6lf85Kdb;H$5-Dz;)t# zbih>Y(1T1GH&8r`b2vGQsaA~3kqiZF#liFaJwV@N05(gKuoYC)Pk2eyFtl= z7^EmhGKKzvt<#Hy66T)A2Pf-ZV|c)^TU5)(>HK?0tiT2&sGSbAoMCtO>~c9Ezm%Wl zzh;jAiYUo(OU7|>yy_hMgD?Dh1XAIu7YC_hG_vKMaC^h=S~M6ALswE&w~1| zcI^AD+7oW;`%XKv9J|ne?a@;|q3Ccrl@r|Q9Q=5@P+DMSp4WJD=J{Xk|KC5m&Ho3> zSw1+-<#ui^*Pz67Ga#N1I~upon(8T8cMA7R;a6RfmtLj$ng7#13pv<_=(K&3@TS4a zR99)EWfC-4h%aEMufdGC>va_LMcU6*D!sn$t`S(#Xe{Vs*4?t4A9X#qF7xug=^mN4 zYkTq<_Dl?wt&Zn1hIQ9g8g?ErW61t0?~t)i9&XgTt`mhAscd$^bv?=0&lT@!Pj)rG zdYqf8dP@u6E|8t*M4bw_9`#?Q&hI|Lq`VJ2(Dc=Q5IHY}aBlss*L=}2{yj@8?^b`d z$l*bxNOXFO@l-Cgb%n0I13Mb4=Y%Ywb$lttOXy!kwGC0>7*?6Vs~tNQY8 z)_zyr-^3ciLK18&!B&-jyLyP7y6sHcPp$Yq{A5=>QM^ZAfOiq$)QwxlAy{LXx7YRn zf^vWkUx#Nao`yGVs`rM<_i)Gd&!)Vl-d-=q^j*8|H(6(!D&^c~C#CZ)*$ZJ7B^CBj zLopUw75u(2Z%L{z2`J7T9gU@5PHI~B-N`mwr{FM!$Ex4=Vf4B6V_G!pl9#(d&>5?9 zxX(JyX6r5T5dVgoiR;WKC^hJ2qsN8Nan$&rvhC&7*35JDN=vTCI30$(XKZqOT+uz{ z{d}XtsVBp)LAFOjAS%b}-B(jw{XUCcWNpD=_F|2w%RM7G-uWav;&5RU9~Qo6 z@F$u{`DpnOQP{!F&85QG$K#3zSShrEEfWk?k}s{T+E)#=ZrXLN-Q}Eos|EhvbbV8TgI^E)Wg|QLPJ6-9$0H5hI3s*tH?JIj?j%7D)56IwDcdfx6RDHM z@LVK>zZzLjP&6~I+dUNyfvkS^l4nfIal~7BQ9_hC0*2z}LeeFFTHEtubHAQR#_GkZ zSG=VI-mOFF{&!#7(=xV)ZUwY!_t^dKOcRs@ZTJFfjf=a6>3-af-S}tTHRFr~S`!(o zh4m3T#?|(+Q9Dm9)!)FkN|gh$qp-9_^jcaa$Sw}rkg?Esjbq&;5wm??`%`@qdv`Oj z->T+*fovdOSy!H%2wKMjPQMdg0MJFF{UjVZQ7!@-WM}c5v2d!cs;g{dB>Z3T*0mXe zp%6Z-dEcU2x|rL*2>kSSah^DknL*axYxvR#WX^s)(DN2XlL`FPV>5NjAGP2+CgUNg z`Nq%9o!u)z>KU&=%Y;9xMP;KfHRbz>D9kkfu)(R@Mz(V1KKIL)N?i_hc8dkBgeYw4 zrL{#DRVd+=JQx!g+L}(W`1H4%juGUN)7Bx0!(QJf%y%6r%+Q3*>A1t~Jq7DX;}q>E z?6exiOT>^kF3dan7w3IrbsF~j7V33l^i8@OJy^1*&9D}?YiD3@dGV~ z35@m_(euC+{Qm zBtPnJn+-SO@bb!T8tCKX4j}wn?TA}*K=N~{l#^$>)!nO)29j{z$$QyR9fxTt@82Hj z(-0=Z@yzY6F2ECuG||CMdyU7A%hSO`!siLh#ZkOTRq=CmX;+$V@GqL7o0T(;ab{KTA8keDP-L(jV=i}nr}CxN0#7^{?-2dc-J>1q;Qm+jzMv` zIri-96MKYxxqJ{@RNynIkhWIF@A-2USispe64S?>3PUmv$(RHeqJ&n(idN%1mO#Cl zmr{Lk>7D?@>^;ip z20~phj*qE3@9KtQI(@;|h+&xhWO0dd>qbD#lC6fJ4i+c)$Srb~Xs05Vj6Z+-R7pHg zho?3V$<}+VrBMlS@QD92xWwD8a^*Kh%h*E(>&4Dx>#A$RD}nx4QA8S{@WtHo(c?f`<%^JI455wAo--kI4^}E`>j+TiipeUby^gMv>o@z z)pR*y*}b3GS}|nl#}U3;wn`CO38fiO^O;^7$OSpr4A;f@`sE{g(9^xo9T?G}rJ05PUq3}*+ zn+%FdJSl{@tN~VBrp7bL+v}$3F}>$S8%9;3>Gj;uw=*BnkA_ti|2b+7Mh6d`#nN^c{B@h70%Mp*&SINBH*9%f-B@BRA{7)nJH&3MZd9Y z?P8Aq!huhO|45OWapSv~Ua|e|^JHBmQc}`wAD>L;q(am|?#bucB z@Ix~@$|n%07cWi~7e~T@PzwC;kClO+F%u~ooGo^*@(rthIj_2LFOxo>B7tc`Ib>3A z9F5*Vx&ny$76?whNv1()2$zS309FPQD-rrShqx47l88dBaB1|Kbg~C`r@hJL;&Z=L zT9Ozw*(_gLJln9IS}E0efK&M-1x?Q9s4we#9(ewVp9$SIc{dN5JvR~l^R;y_1{eO^ zK~t9;;VH518V@DZwf{_gB9pQlW|K*J_wqHaOCnK%@oA9IUtISEHOxBT`J3V6?A za}gsAXu~o|$P4wg9+$Y^?&8)+&~9Z`Q$>S(RxENkdp42)G$r>^pY4`g24W> zYc=uW_+5~kj%s{(s3P?Bm*=xfpJ_QR1iW{bTlqJ05_HyVTd_Tz=kQI%_s3FDp_-)0 z{9Z)Zb<$PfqPukV1y2`ODx;r{>UdIC4hSTm9_{KyG1WNu$MM(Mx*K9ocG}_DeWrUWB z=0&gN7x_^gH@e(qc7|kaa%ku#;%6{E?!`dFp)_-#d~#$(nQ3powa|}#i*oI}4^B7J z1~SLLhJ@+NUOPgtzu_kiW1>^BA}D~i_!vSa%8=uXm+w4+JuF@a8WOK;Mmv0320Sh_ zs!sJd-}yPYd6-}U>^-CUFcJW8$D0j(t+xWEN>6{5EVT$Dc6B3{Hp{(lC~*EG))XVu z*S!3@ntFacGy5*DZSsdXLV&H~ns&nJ*Vj{Pve@lK;jr+!ne_?Qtnj`RL5sDFWM(-n z?fztN&1`9Bem?|YK$iFrZ)_9ccuI~cVtgjYDHuApheLHPxBI)A!peXgyj+YZi0HF% zG_Zi-VG~E;aFlwVl$=IuO9NHc-pQ_ncQ+>pktecIe@78NpZ&bsdL>o6`QAfk9JW3uQmD;k`w83u%|+ravCHD_n(|! zH_^{sPjKJ_MX@+C=k>#+lbNjn#Ix!HPJC|oOZ7hR{4jbDs}h73T%nj&AOmgI#eohT zc0c1bF?E>9E*LP%N>3Ue>e$fqS>LS-Z!52bxg-mR)})e+XgZ`o`<-A-)LZWVdS1hsAzRjwVD?1u3CHDdB~sfezHc zqDs~u`5$9|hl&~jC~jT|l$7R%S4C*V@v68}Z>N{7a0w+J&8PaJ>E+#FB{kmHKWV~* zV@auNj)~Aw(%5|m4&!=;n%?q^uyLcb*BQJKv^!e0Dd!blk$dn-bBVzodjhqQ#R+Ax+Z}lr1u_0%el$kl}w> z0^F>eO>LTH^1RpJAdZR7ZR%wpq5xvd>)*xqH0sGT>eZo?(2$V%f9d(iyjIlfA9PdJ z6x5QWuPHg~2IPrj1;YygRvF3_sW?q|QFstwFhCIak_Qrcs%1Z;Jm;kIOGZ4QR5Z;y$-o!Fd*a3pRfQJdb4*!et{hO^HT{$18 z))YRj7g{_WGa3w;+^*xP4Je!5NtN2A-(c@LUnU99*D7CSjYx6|R?Qgz!SARg>`(B3 z`-HQEu2OG9GD~VYE#sbl&`$D`frHdWMQ#=i)Xd4ym|L4NsdA{lyUQP&0~34Dv!gVc z1pevwz!2kyx)nDKV5&6%qN1yVGP=xmn%1aDLPrgQbam)0xVZ*v4d{(q@Gd`KOh{*| zD2NChf;KqpefyAjcTRwI>GK5hFOPmWYpU=ymM9_Mv#CEO1uG;K%F3U3kwK`LB;@VL zxC&b631&SSOP2H(z7MXnjPSiE&{u}ftrSj#H}TR@yDZGD5{7E!^wEd0d=B2gxj06CJ#IZlP`im2h z>2FyGHoMM;Zn+5o5cUR?L5_LmNvKWA4O}mwmPk$K1mfB<(sZkR079Qbq=bh74+~ zYSUm0)_%Fqr*&RIe2-7CuyEFR8HKiGk+}A=!`V1rv)*Q;1|gZlj1WPUEwCCjfHjth ze!40I08{0_(9u!g!KsCjBuOCtC$$hs2B0+(*hzS<-s1>+!F^%+z=5AxNsjg z5Gx58DoBhcc-6i4ip{c^bx@Ay`a|;zCd=xz>i+`zZ|2D3XEKJE0)F3%y9kU)g^l?) zjw7C&E^rRy=I(P)HbIK0H-8zR@HYuQfdk*^o9~H-Ti0|s%l=l<#8P=7Lmr-+^kIJH zv+S?F<EiDG>RMtf0}OzF+ez_5`vD8geoo2O;<*1<@cXkWh2EdV*s>;q&1`OmAe0Cx>$q zrP~RyS?0DBi3%U_S((jsYb|(BtIe^7jE7*ox8LIfh>;_IjaFh>PV2eD>dP2_b$*XO zcD#q!mSq*-0w=Z=mg_Q63+ygAUvYsyjx;ieG|=LDt9Pj&-+SHnNye$yCi|H%*HaVf zQgpONHc6ll%UqLD9|F+AD%>NNTT6{3lK&%3{h9C1Go1=v%qCbA@=L}$5LWpM-3Y)W z!~E$_S?#{?iVr9{X6osboIlU#e45Em3Lcn|QJbf3?9Rx7V9A2m(z-v9BQ850A8}e= zJGZBl3%jUs_cL^I5pVjvNSU1nbssX>lBqJlC|wigtB#JYj($_gPTrM7Rkgk32+K;d zf=|3><}&RAD9)A;Ll+#uw4A3OnShg8aN@kqI*;`kGNFVP?*oc%H~xU2mO+waa(F~W zcahhTJGW$O?xw9(g7BY))?D!d&7Z8>+7ksKAec#kGTg}^B%duPV~4jd+7HE0 zViD5PfgSMY6d8btZ$t90J>MrG0Tu+4Br#;9H^KdLql5fq>u+JiH7BwVVExU6gS4At zC#`oH{-q#+vI({h*Cv`+d3N1tJA(WSvI%u8%1W+Ij^ARk#bT>GFSvajGG6T#+_t_3 zHA83doF1#?c#<`y@|v9}Pjiz}2zQ^gZ zRQ-4ZTk1#O^g_zG`*V-pAIuFY2-FAXS23pv5=#rM(?QB*keV)fmkmFD=zHA@$jH!7 zVd8Q}&Dl^LnjXphX~_Rjm1Y0#9`B{xaY)$qKtz*mY{WpP=DguV3sZ_L;KlB&rhQN( zFDVzhwMR=?oM^UUDl4)hZx?_BrhjVV(-zxR&J+e*4|YjR?k(l>cfB<4D1qXfJKOM% zMypNh)$GhD+^sGatvU>c_9bQD9Bdjhf=)-q{e;crJ5p*v7;|h77-MT+6mABb>+0op zMt$hXG~hC{ww&cH$tX=7BS;Ds(ZrI>PHq8RutHSqcuc_)R-DUOrT zap8Sc7F2f*Fxi_A8-^W~FOK_H#TXqCKWEx=+o$J@k!PSN+o;rhTaBYKZGgaiV65#V zELO!zejlqrE6B-wV7F7$expL4pID&BaP!eBEY9njqK_r`o)8`i?Hi7c`l3)$4!k7U zumqWGd+`q%rT$g2PJKija5;?#0)BbL(Qcz#E`|>q6%^T%6sBBVuRWUl9}p9h@|QK4 z{HAt~AB4D{8vUmHGG=<*9&WP@D5C2*G_{A8j=9y|Zv;py=huI`hm@$PANJ>JV7dml z>3|RgffOx#rzgMA5zJ-Jx6Q6eB~Cd!tRg(A0YRRJzssH+zIWd{x>(j-`CdzT_wDTH zWsDBi-IIlin_ZHUq^9uJI%TUXE1)(A{aR|7e5O{(jYDn~4IU*YX6y|mJg9}03D{%? z?OKDoWK~rq7l`^YT$&ryj0!PZPU;M8*`5Yi0^OG$Kp4q~tF=_vy})yg`SW(n7|5g> zL@?kpAyNYAWb;tUGDR0MQ$xUuH2}()qZeGy7uYp!oVMI1NyaV;#)k$jbJ7%hQL(yW z)!OdUJ*h8We>%KT0l8KmYw0_(fmV)vnAWxjgz#~s7;YcAHhzEPcaqon>r@U3DkTn* z7sB1Oa@-U=5c}V)#m%MP2>I;QEzrjwxaUk3L1e}M!;0)@-37Ocl0KZYlmWox;?kHm znPcPLS|~)_nCHWi@GyZ67(ln*8VIMWz&>D9?h3`fBVy5d^7eqE)+;j-JJs3`hB*>qqdZZnX5Te{@A^j+r!dgjcXNi-+1+A%6A zI%O7kRu5_HDUQ5EW`}MsOH8|`0YRH2b?G2kw&G!BA0z8X309l0WqhmDs()Oyn@bxi zHuRd!{}>OFsTp`LQ3_c!_+kQzp4Cnf;^zlbw0*9cZ+(nEs?0%NCH|9U`}zTsP@`N_ z{2Y>+;W*r60NAHw@yW*#M{3%AKUpt`8#|g41%A$0yoAu@lrV)Ojj$&3UCZt2)ab$k ziTrpQ#&K(D=;5_y=9@2rYR-rG2tfPT`C}p3iANUy16b2ID=x?U z{(sZ)B+#=`PK`-0+Uxe3C8RkLWR$czPkO@2vG9{(J{j-qPUd78>MYy5J5Ak!+0_U9 z?ttJDo~hE~GY!!zKChNIJ?>lQ68O2Uf1jR!!gHM=`b4?z2~O{qkXnqN6<^ zR)&(?Y{8z3ofjFwqLT>WuK^!1_AOcVsnvNfXbD{C?CFk7o9|?E8Zj^xkD?XqS7cR6 z5G)_hdS#K9DF2Snz`{Ny-dBgI_b5W75E$X>PmCP~{RmzkE^xwsHI^Pg^g3#a-fgK# z9Nk*59Z~q--lF(ewB-g#Ug_w1q;yEnlM^g!muOQA*FRAs;k%i zd2F2u%0wMM#_g8uqmkDUI4@Z7(qZiW8v(hXh6o)nS-A(xoc{Kgz(Ac+yma{@f*%zW z5V0LPpm_`E&%j*ePjb((uE$BX0jxD5!03RcvXriT$ZSUJf2qPuHa7;tZ1bEe0{b4D zC@Qk3$~jIGJW5t6bB&J7BXIGtPcm7BDgNAH!RJi*p2Vh&pd1UF7W{Ba&u;l=M2UGcH~_f6s85eZtL zT;&+^r0g0G!WgtBy}b@YWlH>;&}2>;qZjhdm?3PfhEcP+R>~$^P39!0YVmqJ0 z)>ragYTy8SQThW$_s=WJ;;jLpxs?5-R&#-^2|qA4x4XE#n4P2U%DQSMb-|fQ+OzeD zi1d}O>oO5OuyxJv>C`&a)(CH`(v*pYmWGCQbWH1Ry>lcZ3PQCT-H9_a;bj%H4!ykL zgl})-Y&)hlg9dDnE6O|b^Xv0}>Q9*Ygc5AI=b^i1!B>@;sxfK7lEBqehyN~Tu)JUE zks&moF~f>_Ze>*q{S>dN!Ia9pu%NaVgonYR>pa{!VS3F)$DO4#b$~UnVV$ks2LX$@OUTevg(f z*IQygoo494YNHkpy8{dWY=8H=k&kJfG64$bcqhvI8@Hkt7rtRf#9zSsA7 zIOg=C^r9L8^Ea0;JK}Hi`@ZL{g8MO<(_la3hvC+LOL$;6vBq3gQ_6fZ@E0k3bpFLF zO493~a&=~ALObfqWEhH$-yh+IOm_K;Hix;~0Bg#0t4|2CAn7!RzdO&bUOzYx=dDK9 zo)kg0YxAZwJv0}3KLZ7m}S%6aF?)Pz`#K?%XGGf;>Mz6;zRzc&CV{}^D6%WJ47Q4k`fb> zurBw@o~61;c)t2AN%?gg1sI9qdT**={8ts7$xjDGVqaBHj;||pilYjv2MNb)b^ zfV=tGpwDk86#|_j-LWcE;37j#b2$hAAQcMo24q&8d5uJZShu@Q);=9Exv+B=mKP$e zL%aQB`osupj0_|gcO(E)UZ;RBrv=1!pan5T;5H{xzM#d(CD8PGR{oiVQi&6XT*K9= z{J@~NW`;J+O|!!f~e8%_xn4dal~-r=#pDf9!$CK&1fwkQ?I0Q zhPj3kjXSM}i33*MoI*6JW`P2dzOgOo`yK%axSN|hu?dHr>-2nS!+h&lq2FNkIwiKn zRFZzo@G&p<8K5TF?>||>#|RfCMOCz9rHSg3DP{LN%xoS_G|4fas;F>jaFbL;0}qle zqe+Pdx2wP9`A)77;_A`+7KrArTGZ zN_V_c$5ldg*7lU0;%Goqs95Ul3Sx0>h|%j4-w)xu*ccgfP%GQkd5TnmV2)%@AGs~y zY`-S@UMEnLe642Givs!;bKtM;%83-7X3@+Fh3D?_BETt4!g9r8N-(UKAM0OU%1A-fso>t8M zt3p6p=IxvK8{V4@?SJ#+zl#4){r`WyUa#raJf0@G5)@#OV)W`f&maGW?d`?gRXLS< z?L5u3d2Wqy|JRvv7PF#-jh70TcN-Sizz_RHq9&K`?(ctt<_32v{F>>v0ai`{I4tTNY{CUu;qSVsv@E zFA_UL=H*0v+CfMZIV1(I+eo$P{O&_HLUQ7uc2u}4r#fT0iiF~gVm(T-^pEL+PChTlWcvMXw)F7ni{{V?X8?{ zKO48}D3kB4D_6Z(?{?F;6&o|wG3ZXxoa3Z9=AT*c{wA@cw~P%kruS}}3_@i;S(nM? za^4P@o^bTekR!T!I7H{H70@?S@C9~B@2P)p!|bGa1UIu>7cJBDYfjo(L!&6+qbF8#!TC2G<-WG*t50?;$=GlvKrrw>t+!GI%Hwim~U^H6E|wKFIteP|g$)`j?Y;Gv{1yMfrCj zl}-`i(jLO!)aS>a*PhAJx@PD&`7e61{tRrELw z@8u63ln{|Qc=!+3voWtp`&W2swAX!z()xBU>$|EKr^~r4CeO?_(}GO#%`8J7ZZ>GG z`5WI3rUl-hBW4#Lo>xF-y5iCqxAo1gskgckRK}CH#zgFoHl3LECyA5>u0gKfjJ7qA zC(kO8uc#nBi?JxbdFnNfb8=E+si@7Pecre~WgZ(U-O+?YI{2G`DNZzwXu=Fcud0&6 zJx~jW%Y!^M0r}F{r`Lbiff&lQgWp_Nw~=Q7E z&SdboJl4wdNWi1U#WlKCRys|TVR;Wgeqd?XuYO5US4|vvC3P$COOT*`8jZ>pp8r#uq=|9-P4Czk!*y)03vo z(ZM!%nOG-dC5UtRP@IU^F5!GSgdk;H-l==4k{}lzkGd*-BK)J`yqfwOqt3Cw-k{?c zCp&DUwZS}El=ZuUm6=dsPf6BU*NZ~toOG+EQf)_!O}QTkXW3V2ztQ|(>2{kMI$m5U zzcI=*cLsxpKeN;G`HM;_c-!GunEe?Qv{zm9Yv1If-A8d55K0n%>i(&up-(~@qvq`p zS9p+a&kfQznI>-g#{Pp>J<{duNT;R~EuV97srPmN4Kdh14UfGj8*fn?KW+kpY4$?D zgB(FB@O#9*9MP+e~gkw9%zlh@}2m1xrEy*=fJ063Y*w(T1Gjczh6{*~ob z-A!7xb=w{K=1(|WObRxYb~*yG4Ey8)uN6Z>OP+zS%w$m~md7zBsYi0`MrN(UQ6L!( zmZOm=EuF1l)dDP%6)GAJE1$XZA|fKD<@ZF{NG z?xN$-ADX1X;{Iw#UGE;esI*fvLx1a^CQ9*9;o>`8J_Q}T;n2+8YKr}92 z-E$&GXceR-QAyf~a(|B%pSNod495@rvesbO@;y}=>aXW4$uT{A7*Y*%ck|B+cj(Kq)>s!-MqP=CS;Abns+lpDP!<enLo40$mLo@qies``l=ixk_>U< z*Vtg6`A`Y}cuT{)OY?YBqpNpZd`MvRnSI3M>dwLD;V8mK_hyt{10zqxQ*nD;ZBI}L z3VmRwRb`LirX=2v8~Y5}S9=0DYheS4ewSA0YbKtfClg+dfWRBFXeteQ;5$sTqWs`v z6tTn6Efs8D!tgLR5a$}~i66W=41xx0>6`i7T#g@n@Tn!`KWQ&QFi0rnL5z2&% zJLQYq>_*cQJ}xL{R`@c*vw>LGK06_9)lA2X7qtfcKNcn^i)1q~6&EtohK34TQchT$ zdiAYI{wCm4Do1j{wx}D^ll6+?g-FTpW>_{k<*UpPeHNbuS`e z^62?$EwJ-z)w`YnX7OP^)0opS;v<{bl6&xL9qTQOeS?s!e6DeQ&#vxCkK@uhJM&8X zSaxV%36^j9@L9@_RsTBp{z%V5@}oKe35!{dx6i9|JvSvDS?(_&XsN$Xd;PJEbava7d+lWo^)b4U6| z*KL27ahwqiAZ9kx%$CI_TCK0}#rB{;$npu|MZVnb;a5eA?HQ4tB}5OKY8t}F%uot2 z-JsIM!O3@!c56@4tYfR=v$`CJ&rV9H#U?GHgF;I!rLzVG0IP%&yX!oDkEW8$q|7%7 z=Q#!_O_y#lN1TI?8GVi~^vzB_AThyx#+X;S0O;FMITrzgJ$^@*DYNS zFuAIWfdl zR1!5vg9jHBHJ0@DAk!svzPVJk;Qu4N;oz@@n5@~R z=Hwzn0D?Kxt9*V^mz7_+r!iKfi%~lW169ypqNz_gI?gX&&rkCRdP2$!_HW5bN(*gB zNJ-5GgLGdyw3|O#qlODuq*3eW_{L3sB$qy~2P99i$k2Xb0_pL7jsleI{%&Q*n=4n= zc6=qk{dwJ~*S#&}EhYVPdE<=2e5w_eq0d+UWV>r2^L1Kz& zBx-_X?!n&>Z#MtxGK0lry-`jE_e2Le0q(Y#6b_uq`K90!sJ-M7JG|~Kn`Q6+1Ph1= zT33nC`~+hi|G}1{%_tgm^^-3}yY0sOTl5pe@$TL$g2sdU+&OLWc-bC^N<~)t4p(obkW5(+gTC8D(Q@ zN9)mTTpKh$aKnjAw`lfJP)j0xqs^XsF=ngQJpHOdC(Sh=A>L^eLiZJW&?e&(HmMuBep%?pdJo0vy9iyi@_XX;{erN(9>6-Rla| zvWX9`No!ppqt7^rq6e^G85#j{iCJUhPN=A9>wN0V4D_8Ma=nr!%u!S3RW|L?>nrM4 zs?ooLVtl#7u}o3G=4Z3yu)INwHcyU?1AHDk*VAPO>y}yvJTcm`t^2==uP8W zuy{9LQ)MB(L-wP~pfv|B=Mb5W5Lf%;&w7{iqmf9?^Qy7$Gq^x75)Leoz2ZD=S;-R$ z52)i&@FVSHWY$%9Z`+^yHpCe1zYn5q>2mm}Nyc@xpH9(#4VC^}qG z$*q@HLsLb{DV4yjcL0;U1tyE(r8DpnCNw_?4J|E3yEjLbQpq$|rr!Mmu_6ewx&(gg=pPael zi7}&b74SQm7=QL^eqrEpIo_=^Hk;b)!k-St-B)r0%$CXs)GS^jf1=zi%7BO$7mYuR zNW0fy5?VItjFLOnHzA8#R!BD!@Mf7sf;Xv6gL+ZE%}>gTi%lDAY3}xyB96mCPTxn$ z(lR~*Kuo?zCo_nM2|MvuKj}jR;Ag_bIdf476c5Z??Yso2H5?ph)Y{n+LIZfZB5_GH zawH&Hov2h58+LpKegwm$k~cmXhm|+YfpIwEsm$F@M-q;2zhZb;1u`V4p;FIEHmYAz zX9_4Lw*KS{Q7Js+Eat7IX3S`%-af0~PV!zF&#F+)AKH4x#-yH@)7yHPGPfx;RTo$@ zB-cVkABZoWey|ciNAh?~(bLw1QvNCOzU=lstqFEl78v}=JP<0=z&$CT^~>mmcO3#@ zGVyYN&{eIiEcfpx71mv#4xTz~$d zVdD3#pmXp70re@eGc$AjU+L`22M@VPGMDSINcMk!{`{-3QCVGg8p5AYsVEiO@tFso z^4}Up5mJVQ`=8AxIkh~CUj0lC&C8#yo9bcm*~U4hH*=b?_*1qwYG`C;m(QP}F-3Wg z>tK@F4H76OG4&vqSKrU!nbA`jE<>1g-Z7P-XNS52^DrHGH;cLylp}X^h+FBuhXj`h zn9pR0V98G?qi^mu95eKWKM}_$#nWr?@9krKeq52FiCZhd{1*0s_3%uq3>zYAa5X~9 zS`*6fx&BR;kZ@Cbi>4yy+nFT~KfLXJ-_~csy~xfJFAAD0&j`&`+H9$)JdC1C(qhJnbKq#)|#8B~GHjP;wTh9J7+r2xI! zU11!9U8|1bV6Y3V{B-I-z{mUoNq(H3VPs--l73R5fmhpU(J$J7I!Lka@~mXks^}B= zFMX$%%>3ER%F53C_(s?qH8Nb)){ZnULmHzQ0h#x##{P5%50a<7I=lFsIi?tlT}r8~ zS^HW95ex&4q)exa>pD(hS3ZYu0w_HA67rn$TpRSkt%aO)S0sZ3n?83Te$qKK~FzVvp1RF zF7k@cr`O2}QI65{=KH~~MC#Ag3DA#77^UH?KpwzJ8eXnV_NP`b6u>B_gP}25-|b&^ z6Dr$b+GDK|LNLjpRw`5!j08-LKq`Yt-~g3ytgNbfuD@a+pqpM_{!jP1Bc=gIcibsT)QU1GnI7 zWF;FqxWjuGUeY!dm^=MCPp;=1YNVqkMv?G9jid?>1z3q0tCaeK@%O4tplNJ*C+RnJ zhs2GclZZ9B_*kF5-Fzjc7#TBh&JcWnF~&^dLBVdh`@`kHN9l)5j_a>THHw)Ro{k&T z4~JM}htBwri7hIZ5-=bL9AEr7 z1VrTbuY`t%jub|YLh4~c0dbm$zw&4}lrh490V-(es2ukH9tK+Nv3p z?6?5J=RF4u)EII;iFyACO;k-v8o>Vq2j)*fYr5xRbW!Dh)lo@ggLB|ydSI#5rH%>e z(ii9-l2U3(+Dc%3RuO&xutpA#0WapZ{Pf}*>?9X6GAN~3su&-KMyS;09Ud7O8mSOh z*h#HKMO+zIaeKyEcQbbB){I-KvTf%TTt02F+$aW8{d{!og2%vx5*&ev4lORu2gj7{ z2Ea;Lj~dk0_2zc&&&*!ce7$_GBV=Tdxzy#Tiud%=VGGDe^GV6VgK!hf>GfF;#~NU__i#{^lu+Z~ zqPXwZYHin2N`6zN^R}V~&7K|x_%p`5AzuQ9>AwY#32OqtA>OuLTBa2(^NT^Z7ro(I z8|BYv)O?sV7x!RV+Vu_!dZ7+U3p2>KPq3=m6A{slRoRTFS;`|O{R2BSi*i+OPvU!{ z2cn)yxZ%-!a$ z7(0^f2Z>ywDoE=X1~6_}*JG1)Is3DK<}?UkgxAt6REmxiOC02fpYKnY>M7ikR(nG<$f@^}ZGtl;8wfrAlO`HrFDsM0`jl6NXwN{D?! z6--cK3#L{Cqar2g$<|(&XH&D(RE|x_N;}nfh^;2Vel6cMA3Dmqd*w7@z!U5$L%vwy zm!mNqKdv~_E}Jg>Yt^Ihz1AUOc2?Q7u8TOQM)S+=k9eaS{aQmwiSl3}PznVF(z2|_ znZ!P!X*ugYX3)3*gWDYyl?eo^-6F-JX9si?^UQp{46P}ym~L#1izsz@+*2VKa3}h(XzbLlo1WTer zm8ydGv*JXQ- z1=^4(vaa^;A?;u$HFD@8r%o%Q`VVi9Fr#-(F(OEsT9hUcycyT5&tE#$X*R{d{|d2_ zOI*FrK$;e9Lxsg52Vt_OpcU;`Nnf_@{&u)?B@4w9*)~20`0fA2P3-F{_33EWw6_>CSHjg5P7e9-EZCJAdWsA$=)h_ z<1|=bt!k1dyAwZ-TEHw*E#F<8spFE%3#31go|K15gQ;lvEU2F*J8Fy>cB0~P5%IW5 z*s^ESZPem4wezWtYOkLJ{itM1SqnIpOZR_4rKd67y_T6+E1kvgH;7392Po*){$$8& z`A*}aazRNwF#aA4SEd4r>Kp^0WQ%`FS7>wd%luqRPwNkeXo`mFK33MNe<~jfS`b%X zyLDSGVwXL*kb%J0d|ki&3$J)WenSyyQBg&OHZ9OD<>gu3-s-5@(MY$S&zC|uI)Nm! z<6qru$6*=b81flDvv73QggnhdxJbSp_hiFaheHia{16>DoZkqA*H+-fXGj^_`_3qT z3}Z!L2L)$z#t0$!9a^unbY0URd1j-gi)J@@RJrHfAQ$KX2g2t99_R>-&vKYd&t}LM z#>z{Q5at_I@rp4~g|zgPnvoS}ry&iON&{EgyXwK^#^n$~p6iy}4>R|4`nlT2*on-O zuzK9OAC}s2&zlGO@#GdW($iB@?KFF4Cd;yWoi?9r6xm{Z4&6*nD9Tw;`DXRmO-|qC z=8%|~BO*C6Q|UFlMj4Z!46RB;CZiloPqO&mdM!*&b z-wFxH)+}fP{0aA9T~M|4OXu>l;?`lH__rSqOm?r6xJOKDTTYi{ky24VCh;&q<{xPB zd`Ku#0gB9ZG7-PmvKRf-6}bu2RPo#r_Gs^HO2D-iHpwzN46Mm$s6M&gdQ%%flkk;b zCw7cT%PCl`$cn&?_E(;)D{5+)8CN9-J3?)jg}bC+eqifD!av_h!&?DRLn0w*r)*eF zOzWPf$Q7J7S5*xa@7U@-<0nyg?Egk0wR+3&+Kuln*d*n9pz7Ub{d!ql)_h*1R{EEU z&Cav-wNlr{Na3W0URP~LCl#m1`TJ`qUx`LV7&B*(ce0blFu=-fCE?e|;wlXW3E%M( zmYg@$dWEzeIOGvS|IP8PoU|KsEz>S@ z#fWg0VxRVh(}f1}noS>12~6fvG!9h~JvwEL+UayqTe(${chmTPSbN9sNV+#{w3AGdiEZ1qolI;ynb@{7F($Tcn-kl%Z9Au* z-+SJF;H*<0daeFYy{oG4+Ew?pFAV;*mkb{q*bz-a4{A|^!)FF+!GGc*pn?gumRwe8 zqd#A5HZ(VPJFU-Ak>k1lVZhhoyY)r@d?n`{f}-_j$1~UhOl}D`lP#!57cZC683)~$ zCT_P2#^xBJGS@vHg<-Nymu0nE#>T-uq4Rtq({qr%cq!3?`g--U3h}@o&V^mITptyc zxzDI+Zcs8w&Z|~1a^jFtOORNF_bQJKV z>D(XY3~)s^=9*A5t0kEj2uoZYxu0K93ta+*!wQyS|7aq) z;jH;oD!^}EHZHh}7Q+x^a@jaGRM8~3jn6iU2_3ucpT=3B{=+;75q)`U?EcgW8rx5o zUvxhegSn)WlaD0Jqt36NQ@D6ovRkc%n{}03c6RGL$+430Di=KdI#q{^ev4HNy-T40 zlEG$7@q{Q1QpQ|-@*D4QT1pOcJI`*HxQp}=SdNxfefqszahr^$Nv=~Jq}_B{T;yV5 z)+q0h&{nouZ5_^+=pr*XZ22lmmJ-k722DEkHqBIB8u1ppwH5W&I%dja(j=ZLF)^MV zG(y8oW#KURSyI}LjJ5{-O}~R|$Yg`?Xk0+Lbg9q%Zs+%bc8Qol4b|0@HVBuO*?bV9QV-PbS$KufEMa8|8pxe?Bx3a7EsCPMJx>4_$p1^ zbUQBSsZ-=-H35%N!p-=8q(_YAvLBQJ<|^FYt7zZeSP%1Ax_a zq0R_3xl?3utn|c+syryFcgJ9SmJ=$}U+2dMSZ@yy-Aye|$mymIqmr27{IeS`NCcfI zKU#Cg)S=C#piMfrV6x?ayuG8SznxlrWjQTlt2kie(;yN@P1L$f0|yji=mt|hb!)O< zt#5ECE1bY=3)T$2cLo~wUthkWlL2xY29<&_AICre=(vrCghQO8)J^)%(L%mzCcwqr zL5#Mr=@_X`xt-(zA+@`I;JP5(J(BcjPRz|}cr-<$9WWl4-flo;Z7J`Y0x9iZ-6XBc zTJZq{h8jR`Jc%psfc?8jQht*}%^PG7f0K=*6Ex-|tIsVz1d_W-a6tg_K}twi6sEuauqbh*?$A0&>3S!{M7?Ov?cAZ6r5VYVw=x9BqnO?Xmh zBFSpIQ4&($%w?;-(IvIV>i)jO4GWXpQo0`JMmH+VO3Or3VPiwH=${~hP~5pc(P04i z%PnVj-?Hm#_g~ad?&*Qe7jS@)BxF7>d>b3PG%S)MDms6k5Cb?LPxy$}Y?B9%AP;V& z3-r~RTehK)p{3xZ37kSIWlRk`%BKzdlZQGIFp1UbL!I-l*l9#z-8$d_k?@W6|u&uTH%3%XL*{Gkx-itM5aB(rnYY`-zwrO6*NHV!!jB~ ze#t5#K%u<+@%Jk`Ox&@f2_!(Uq^*Yd4yjGTYgxbPYQ0o=AOeL(!rQOGis`kUhIo3> zn-3ruYw|_V@EQi*El*t}qNaaL^7fxRdh(kyRp^)mx=3szmJeQnY5l2}7og#F1TGO} z$6HZh8F>7c}u zS5;B_n%sBr#e}2-8aSbaQC3qvJ9QFuSzk9np+;(^EK6r8**|!28r^P%tGXp#6%#?N zf&$kk#-jK+3xYb=!nN%R4^oJTBU&YQ^>W^}dQGQ)9hg`jE4 zk~fjlO&Evy+CeVOS29o3e2pfdsZ%2g@Ny9?7wsnu$lma3m4rw?5%J2YP0Hi2^3aM7 zCGfNe9L*pp_EN#vx2srL=5WGKPv5DB+irTEirHe}AjJ*;^f967Id z%DC#3_?@Y(=n`PjvA{tcO(8ByI~vZ@;@McJZ>475?H@-vo&cVjJk>wZ(o$H1=Bn>B zS>S}IIAQ#wO-oWa<@mbmhKVn9YEM;7l#*fUv7yE_57xxM;cip@ay+*wCH_td32Csi zG1kt^#}XI#So#=3ghc=EMsvHTbG&;})%Umtrpf<_6FKy>2KBgTeRrWs-Fx6qCIMbF z2AKx_*2;3fR%u+k$O_fRFxtU-OpMcn&AEOA<_OHNFwiFn0SSxn#W?BbkYdwh=C-79 z3id9>mBVHov?w^8O4(%Zk%86W?l!Xcbd{n%T=W#^%Z#2C%b|A820j9%%XmSUyiCMu zjL7nSkU_0@zpq>Gm|JA(x#1jo zD6YFS>(33Vz*2iWnn{Tq#y#E_I+YX;|1r?GUpwzhTmZAZ7!G^yevVc<%<#mJy)-!&~v$*;6VeujnqZj|s;JXq2{iR8D(neKP_yncr>22JT zo>3$A%?0xH{`G&k0NTQHPN_OX#Q9=?_HB=YKpI@{a-#G+fgx|X8IHKxL4OK!$!H?@ zIZr9Zc5QrY=2?%+^UCk#4tfIVa!;)(UG}Op=I!~pV)1kWfS^+Wn-lCG6Rf3JJzvXt z-QuaNCAGoV(a*$s%TJUe`g5RAe(SqT{`Y0n{L;7@j#YUrZT052?!Nx;XInW&(?NW+ zcW}%Rb3#$MSRMMbiM}_M$4Aj}2tAF2vlpVWa?ot6rVmdUkJg$R4f57SZRrg|WLG46 z=9F%Wp@ODmSeMu2ozZ>_H>b@>mz$c|z1y}+N_W6gNJ25zS)EfpLFeDWKhdwoHq*&E z_c(V}HMSYw>DixKt3(P~R?4TbWPZ(R!)|WZ;*x$emmzlPs|>5#lb=Bs96W389@FS2 z))onhi}MyE3s9fjj12`BnOn=XW&;PS5O~@OFO{u3Dc!SoyVs0fkFK(EC!3);{I1xa z>U7w!6PY}&q#qH$8%e)@sqV(U9>_BVq>DtSJkC5h)P_?fyI{-cvr0-B z7=Ef~4eaU}4ECvgz1O%iLkrukdM+w3du-iJaYHNet6dk8O=Uc3^7yG(^u3$$8_pSi z4%6Z;b=~5?jGG&(rhJt)8GGJAqu6A7EX{D4e@tX&X;Tvm@V#|;`Fm?VOj#|!oED6|8Y(ND)v`^`G6;heWz4K2!a*BR~l9~XNhh+JVn_?neTdo0nzv8mJ0ak;S2@ytxBx_I6;K6o(XTZ`lx z{E8(QR%4ZUeP|nh)S~HfaL0@reVcOi3pAWZe>j~Xr5T%l)x8TgsaR)WvEvze60;kK zVuEPrQoO1T;KFIPi}HLu@QJT9e=Eg`gw-m2*=W};6>zvWjhW!@8LtilZnD~>W&9=*O$49_hquV4_vi-6u(q89ejL+F!#<$EA z&(owj=J=V7AZ-}|5tX)8BCRckM6V?vHd4>Y_{}1+v7bid`dFnZ`5&Yu+)s$4@uQEyKZ&7>`3K?9 z^;(L=ci%<#iB22I#K4X|325X^|7#JD*4x9#SC8mpS7|qsX>;t2$ReP>ImQ>Mhx&1& zO-nLTaC1l$ydCz>=1nHOPT8wV8)M3vgTLX^3`gk2Ep9{n*C%1$@hr29w}sD(PQRlmaF^cW>|~Ik zlx{bk&wN8)ram)5_{wh<#=(BRqVAwq4@D+L&DWwF{J870zu$Zl#Q&`v=h0(|ot|2V zeJe5l_+LlG5lq%rcDiu4-INHs>m}5JZ)UwYBl(Am%AxLKnqH|BouNFE(U zRp~yKYm4bpB5V4w=U=pWp~fl|s*zu8<7eVR;Hcg1NWkne7{f>sTRz-`b^+-b9{aub zwa59~uiW&qoUUjEa{<$W;GBU>pX(1{_?YkisLDMI>DXFqDUVuf>0^s;F{=<1qyrQb zC7c4B3MKJ1JsZmB9>AcjU%FZ3&#Rshfe|5NX4f!|m1|CX{A6TAM7u%n35sx=H-2b` zlZg^5LZsJ(G3c0UwF=A3576m3YaDc2zyX zmnBmJ>-->Y@Kql`c!2}%Nu-MZiLl5&+$ipE+O@euDUq^SEBMeI;$T>rV$JenIr)5s zA1NsjWLzw$X;_NxH8&dUnjYy5STRP8< zZY;?XOICEZ5TK!1B?Q7)d_H1Dj(Um#e+R3p4SZ7|N5T4L8HG3Ir#c)&*sB`$J+3); z3otLfxjXukCz*vL=INJaee@dO1oC$weQPKJ=**%k;>%9DMwlY@a0YjK5k9tJX7Svv z%Zge}Xd2YJI#oT$o&!urvmBM$Nf-wUlUr z6%2;tZCttOExfR19hGKEGX#*pfWz<<1Cd>2KY{BZQ zk(giF^m?#X9!~;RaW{-{&HK#wxckFNo+=+rY=@C16(8O2)Q#FFT`xDAvc#+`Wu39o z>fB=+2?1^b@G$VQ-4gX!o8Dqs2JvFF{IFQGqHYFTm%ozYTTbpNarzNqVH)vCH#-yL zZ5}vMsWN%Lf>p!7H}3Kc%G`&t$LmhTlJYkWC|Txx?{G|*#_k*)Td^lS-Il)#zjw9| zb26s>SThmVLn~depBTD~B>S((h>9Ng!rEi2J?DWjy}1(42vcsVmY@j z8%CcgHPOWKIi2z%=~EshzO-rJeBhG!IfU@qBbY)f`llvfI-7s_U+30uqE?t~L1BR> zEk}1Ij+}d8s1Pung{xy~2ZIh&w)*#&oh%ob%;o9cOC{uEHy#!w(+}dJk}%&TRKkB~ zieMv6YP8xs_d-~ZF8rQ^Lo&Ls$_Nh;AGY2i%nxm|;45h#m>IlyxaUEKOYf%Ymg${< z`YO;&vVJ&PU0)bDo+k{l^1D<93QLWwU|s@J2M+RW|E>74J^da z@2~^P6_)c4tD*v~$KE{$IjTJ^miFOl>;O+qwSVE1vdwRxA7kaK*g9-5Aea0(!s(7C zlyd58LTgH|BRL*nXH+zA&37a&T(2Ap^!Bx~;FzQS!PAgS{r>zqbzN?mJOW!oIkub@ zSeUFc5G3p}Nvds7&dam%kN7gUT=nAKjKN0?*__TvRIXgT)tl-y2-&K;#}*+sXJ9{n zBdq@1OF!?6v3=l2Z+>ie7zX_))oGAt z@AVC0{05dRyu!I&Y4PqS3~^gv^K z8x}Qu%zlob`@&rO34`b}ep{1{~7@08ZSQ)eE4T@|oo)0y1BwS8!Tch?A z?B3~UFz7d5V(pyi^G7TTE?n+{dU+2pHuyV>-$xGfR%P({9Bg9KrPy`dN>Yj@Dpo(a z*f+eR!)#lg;IX*-uWWepcP1XNMbL+iShh5(zS}>EftdTx$(oVyze56CV$7}JhDmNw zdi&oi?W>I`8(>BSb@yLeb;Y_xEnXWQqR#xh%Ea1U>QRAaB{J0764&72Vo@aV+6W&e zpCEB*3`tETl53U(O0`*EuOO6J*ep#rO=B7E~7!*8yIl5$sKLs+9lUKoVyiQhA zTs2H#0g0Ni@4WZddwo|{9@6Z;Jo5k1uyU%hcqoj%JoRc^FzW9)C~CZnFvf4&u6{`6 z4ef27h1^Z0nnoXADiLVC=8xBo-`D%XchBYKRm%l-!*98mah_aWT++-5_%+uru9l75 zywar2B^ZVn9@3S-ms_)M{oWQx-1d0p_5g2GW77w?IWl~^LZXY6@jc>@s4YA{MGAh3 zypuvpG=HFerwlKfFlUk{Dsad=6*!6Z4iUTcG zF4;7#X3@o7?OXAOWYIIIPv!uCM)-%=zG|-)HK|e*`bQXKSFRg3lZMe|bug0Ps&YLh zD{C(s)Jcmi^|=dsijE$$OcjSvufb3K;ZjMh5MBMbcYfFRkba>TkN+1D077q(%KGj; zQ4U=o0LCi=DXsL&jM!8>rT&1y|8cpU;sD0_I*E=RU@l$opZqu9^qq<;9Bb)tnR)-Abpm9yHB0x}*dgCaiXw zSF$iP-+F#SaEjh#mIP7qUL=kPvZYUI)_1lQ@ttAOZaRN6{A7-L({YqX=-_?m@gC~{ zEP+?jT9feMh(7ev*|P?ts@1^;WqSDY{gq%R0uw_RC2={WgFl8 zvAbUKW_zdB{rh+Zy71>;*y%Yi<*>)JOcC<+ii-fIm5czlJRKz;hLPn(D7sC8FP>@N zCdloyrI;ujuif~e{j8XR$3xAf^K+-9bkSqV8vw98Ckma?Z)hc#O~ZQV+q>$Pg;8k* z03y|+AxD~hmC*1_ERuWGF`07BOe)@U`2+KFMY@smLg$^(OKUc0dM-6P(BU83+Gm|tAS#bG%xe|DBA_t|5y zUq#+|=3oH-qtrMRTg$J6#IfR=*>LE%eDRtwEBKz(iA~*D-sGWpD;vL=%U&p1U}$zO zEM8@P#h_#phPvc<0zW0|;xcQc70aY*fOwI@L2`=YBS_>II|j9-IYJz#r!$1y4{@!3 zY1((tqHTvj&Nakb_vGs{9|0%(<*TgLf(!+9nDkg5S%syyQ)d5OsaxE^rbV>I=HNy6 zf|xj#*Xqv5X)zyZjrn4GBg%nCvbsrHqC}VYBD|~_P$ZS{VAXPJgwaNn$&5dVY(y%)*O`Axk4q{}a+>ScYn*A;GAvh<t)Jc%t z#$nwm1Wu?8Ii^}DHs$Uq}^8^tQUT)XQt7mMEvtrusRg)Fs2wc{79=2aAUrBU9 zAa7BfZWsk*eJyqyZHeg8Eel;l;Ar5`N#y!JQiRLadGiDT3b*1*ab!PsU^ztCNE zYo#ZC37G5L(n&3-!C6%mpd;T_mXoY-P&KQr>)p450ZLu7?RS%-7oVsp49?kHTkNbg zNN)j8*cLxCYi(2+uCkst=)sb(hVB?%hjE#h;OqF(<&@*U&CYBwXQiaM7-i0S9iL@o z&6o48C)5Cdf*AQ?;eSpw)Cu^RQ6}M`51-9s;Cbj(s)?4Lff%j|K@TIO7CgKccsR~# z)zv*qLoN6o6jZFPaSs&{aSqo(}|9@^V76-!sG z*JkUn%*WY(cioiqIk|*jS48JW?)g?s+Gw+F=GtL7ze&*b$2I8J&tzUWjZx(XZVo%x z3r2YWa0YR~0ZdOA1q3S12cUou-fiJ0z}N<<cbB?&^KK4Zx1K@DyR zD?q_=RXC3+%xDs1%~Doh9Nge2IX}HzNnI17eJwD-)7SE)+zc^k9;umFM{>Hh>WJZcyU^*UjIMD?Wf08TDk0IO*#HE1mi z%ph^P(ex^S(A$f7_snOp>|IM90$~0&t(|3?%I-l~f(XcUFoVlYxt*KKy=){ekN`n- zgn3j z2ElrxOo~GQa&2wSAgox_DaY)lHK8RfB_(F&j<+-H!ZltL4dtXib90s43>qh^?oT(= zgy4aM!`wfE8TZgdyT{j?6;3zmGq16D^mZbvVP;G6zkiE!`e^SBKTL5)C;r89#mF5V zGl2o=rE9`FKL1+vw#?k5YUu7 ztAN^1?)g9Ub}Jo*UNE?#ipgz#c1k{CaG*S||7 zU97oS@wS-JRNe@Q;pfPa*cFn@|smZx$6y|Gi(xh}S^x^6Ino3*+a zi+zP9bwG7tTM5L>xb)tBZhzvU(-0tW$n;+2L2GpS#Lu^rxrLPJ))!8eFNWJTXO^Sa zk_I@20&2{L1C2oh>3e=jK{4fT(Uq~Ra9H!|)k?P}Y|Y%xmm3Bp{O6QhkjMA+ za#mF^gQG5OhlkhApa$V%XJ+pyG%#7Q0b?J+nY9_Ln<_Z;BW!QT?pF@|Wl}yjhba$Kt6+6zfxGopc zcgw#gbYNXx*Pqt1&kIm%ER0?)`o|NH_uA6`WWHTf0|6_s+!;Vj9XNUWdJIjSur#3Y z?6AkOTu>R&$pc{Ap4FnLI2wgpNnr|76vvJx2n*Qx>$>0m?@IPE#e-&gGqg-DoT6G~ zs*uW3fs$|nJPYiZhZa|pzgAO<8Nob4g-y52wW#=Vk=q$e%Q&5q?EKeu-*Zl*$iR;X zM;2`VpITI=HTl~)*_$%78Q=+PvNNpx&iwjSz&^M>xQVp~n`1<`> zTYOjiFoUS&?Y}+#dA0JI32rZS7`eA^RZ$>N)oCh{ua_c2|M)=Ntd3#V$|CG`0~!~I zp62EO2!nm0RmxNQ!8I!V{y|5mNyuW89|a2Un*spbbfu_>Nv7rWb(eR~IhmuIdw8vs z#cH-HLU~B4ss%}97PFU{Of|$f@@qifRLh|ABPJYnu>aso|9qXNA$o47NjkHt;-Zoq zZ5p^r^|4fVS+pPda~k&0U3R>J(kZL0t-pS%JT?Q8riu^6oy2Rm`Mq7IlpX5xEXV#L z%^AdRMT4Y1IAXf?-EMV9UH0=-L!sUYX-Z$EDO8xGRBtAtCZ%&I*KZ0Kr=`WJ5F;CG zlf65H(|%J=o-39>MFLIGolA^qd>z;L1~vf-aRh8_7Jl+CrfX5$J_eT$r}G`B3Qi45 zcHdoRcc&d?FI8GiXp3kfqVCxnh|PI;25fM?aoro}}^SKQ!8zrAlf>UeE1fswx||$^flAXIWI7 z*@67K->s)cX3S0JEA%gB@C1TI4h8Ul({@&}9fx`)?vTQDSZju{+>_c9BdKA(QKGx= z$@SdW34vmIt@YzD6H)-|cIz$zH9)mQwu|kCMJb`aX#r+@<-ZBTd{L5ZdH!CJ8UP^e z+^82uE_mQ3@#LfBxCDDAG5lQR`5fD-X6b;0DPcQwP&V$lkmYZ+uYR~D9N$cKqDX(z zW5k}=0Cruu)n(V+p3omR@ ztihfO?<=G;j)^Mpv71Ug4;i7iG^&9-Gx<1+MtKiswa{dwJdc%!awwXMia2;MZ@9nq zI%~DuZW=fMPhPA`(ac{oE#dUrPR8^qwt$L+r7KYruSFn|iU?0HyiR+*1T7p~AC zDge>Mp{>}M1A*GU)wfkXGjuRVBJ* z@RJt|cDW$P-%_@l?na{7(*Z6w004;?5D~2EDRI5UShPMaEp4J5u*QM%tQd0jxGf8O z&96|zPha!hHBXlQRNnaG|0_=cpvPilJP17tTB`GJv>E|zy4mEJ-LmD7DtWNvI)?;> z5ELMWgjr{}ad1?L@T8Iz=f8L~S0kUN4+;204`n#L3I{J&3~)2t3{eo=V*&#KEU7zHl`QMF}&rl*L7qC(uF?H$I(IOq3Ybxh*!e`q3}yN};*5pqy^j(LqAGqVH% zf{DM2L}!^xX8JecNd3A+`YFUl^;pDe=4`b&8jyAB=_6^&0|EYm!AfH-cJVH()c&AC zHyB7N6o`QACGs3n^k91s!PN165I_K_atgNWIJOv!6WAy?Tn@?4pL+@z*YV#oZ~#mE zN(MaJY8zHXIfT;HDi21W2maUk&pm4UtI8DJn}7(>7U|jAa~_n3bU}ZAK>XbeJE?DO zrf?MGgBBd%*8vKV`{(E|gAJ`K$yrwyikLXWJt82E5`suSpBd)$h4Vrm#@H^~GbjQ@p36`01;KL6a^VKyoI#JP($ zNIVvm)v~9m_mE8%WEa{ie}a#B!6P1edF^@q5y|na5813DZg)I;=%@n^N(_~h$vyAQ zQI1X+jOd?=+8G;_wuE6Mz(s=qEP~Qq6c(r3e^&Zec%3}{J3bEmrzvfZr+pnI$3%4{ zs0{*O-zZ8q;ZU|IZt9_Yn?5u^@7|vvpt~ZGh@gaFqyA>@69d^%E7R5K2|x%G+#MhY z@ECy)ds!+=zd)!hy5TsjkB5Q+R8bZi>b7|7bbN-QijbDd9k0wa++w+r0 zKUJ(OwvoTl@F{UttNcz>(8w{OFdo$efXM45Bn9T(hulsg?9N1MzL|lhpQAYcjm;*Q zX#uLN^?$hlMO8A%d6@L~Lfyvd|j9Q;*i`Fe~s9z2G5GMqEJr*pfUjUKtnFFy| zF`4+mT_vSFD9C5`4k}Yu3;ihFt>U8t@C_eY+CTt^e=4kd@UYC;V8rW(u<-}|E*pEP zK^+!h$=X)I#Mk87OhA&px)9jkc}9?RxS9!)jX{)8v$Vz$ymp+t`1`6Pz4c>n$>F94+F1n3L?cLu#Nia3~t4h-R*Fo(l z?+;QJ;`3yaJu7Lz-%SP(9rjr2H}T;VC8I8(e>6fqZ6amgedy~#N&r1WEDS(yrUZ6*zaD_b#OX}fayspXN=-;Pe5ep&3feWe z3H$!*HroKIWSBTo8y(15G(u-ngJflVxm$kD$|^7Z-$YfSSMfU;3IL)$cY@&FE#)6# z6Z6Iiqd(P~=g0!#*@=@T$fSjXULvEjvme!*@qssmx487Nlr(Svtnn9^8yqAP0MNq$ zP#)3t^B46Qq6?SDkqI!3xhqp}=hhMi#J|6YguaAcqE(7sg-p$jZ9ZXPF4q*Jv_pFWX6e#9^>cq4nD>6L+5R$aG5efgo=&sCS|#Z?eZb~*g(!OC!P5|t&*W9? zp_8_x;i;uwRe?u5bnacZwUOrm_@~_ufH0XidGs-pG4Mf8UCkv?yksNcwZ|(A9!iZ$ zgY`BFeSlLo6(0C!X+Z;O_r7S21B+JmP72916;~v6ki$r^28jSnfJ|uiXlc^1Q~&pH zv?~grEo3!17*NdN1dkIT={*uw-lRv6@BS=yd!iSK2;D1oMfN;;*) zXX8RE4U0EP`KGF1{ZUujjLwkjih#|!^YcNqw|evW^|9BX0tC>v>7?n^2z%83TP&@< zm34;y=ZtOxPbB(!1jdlL{PeNkBgV7mdCtV%Ehla?Q9-B_%Io}@6esLog?J9ocNdh` z`(TdJc>krfQ|M3%Q#dN@e3ewpnH;t$Q9BtkfP z>OVZ1giiqiII2X1EG-BtSdiSM2hmPA_FZ=sGp!Y>fLHT^G_C2UBAOw?3@#ZQsX*BU-vG(63py}#t zPXXT^0kF{64rbmd5tf+}gviY{idopM>z$?cv&xT~j-ap_LvD|j z_}O-4+1x+|^k>)F$i+!pr$~lu%7dnh6jxY|xBZ1lT?C!^P#N+g+M`R}#!S>5$=U3Y zca>2+P*tI?$Y0}nvk%n+PBnKvP11obiy~*#=$Gs1oDw>~IxZQ^)tmR*KTc0p345u# z;70MH>*3GYY=io8+~7hpFAH1^Q|IBLMP;{nTKafoffow#J2LSb;$AU>rO9tcY%Ek% zvUd1t`I+P^+QCVyg-GB#A@6~YX>K;()i#o3j6o7;U1%-wh^ra}a@#~}2vZxfG(la6bJgUI-!Wnq3iT!`4FLT9iHzKyRon z^guRTM$34a3lV4r+BF=&<Magq%EzUKr`T)4gE3TYvPwA1*c~OqA~{ zebbD9zhX6iA!j{thAfNqfcTeCp*bg~ z8htnQrO!X0<+T>G0$WBGSnxzuo^u$Ct}~NDDxe%H&lu^QEW(FwnIVV6ZtF-yogx;q zfCQ#)3Q3@PQSrpELv0%G_ezo=A+9I#y4?lzv$Qe@fbh|WxFM(cUr3U;>c5tpV&-8T ztisg>^~Vx_f*(I#&UXT5gBI~jUEi#>^2wx=X|?N!xngB1bN=n1tApJ|P{VCMw_{pK z*3D_Z8y~<$g7>LgP;3^Dk6Kmt-0UrkjSPnlSK6>@Udz&Ap(d$xz@$VhpO#RG$y+@n zaps3n)6=cC6}hD!KXs5t;}#N&5vE?3s$e=_Ecp7qH6)I4C#U8+^izKV&pJ0)XYBxC zOJArOWq~ZX`40P=ps@(7f}E3ytjDWHv*8?NC>C?D^jD{DPgCyOM#3_kDa0lp?>}pm zUvV30p}u|PH8pJxf=>6I|K_4X-r=7Sjlo65?-BMz`Uh1*byI05dA&x3ElN9`u>d=> zqeaHUF-#o)+h(sFZbWEj9G~cL_)RYr0V@qg52;KA6O+JA;)xK`9bL&|^?GpO&ANlE zoju4%)lthaKO7)uv zfqtU`P`+DK2wsrT?PnD5VGw^$sv!hw9$c2l6Ivz3HJ|knJ22gN61Z+`3gf?G&p+XY z(A3$L)UfDZkTO_5{i8Zr+1Qjc1TUSV@-0oKsP7*tS_a>$=C@x`7$eiu>GPN*i@HI^ zicx+pEI{wdTy3qxHCN?(=KRvBb;r#oReu2i)avrrms)f6ll7sy z;^z+1P!&+HZV>%veQlFuabKKD#bc7VlK^U}fuMAanl{6zZ2uW8Kkrp*!;uE7bj>>boS!QOTuum)!%@WYbVA)(oMs~sR49>-|rFx z0JXdnThZf%ZB%M^dq%=IMz0lx$Lj|P=(V}d9OJ$>ggGOb#Ic!Sp&?mF0A`7F-E*OE zGh|V{v`HJU3yEk7+ee+8a8=?dtXTi(wYt@$HE0|*LMkKnmN?i>vB8dY;b7Nxi}5g6j(c!w zb;+OgKdBHVYKz6McL?ot+?o@jIaxUD$Da6V!5Svh)vFhr&ULx)NktG*7)Mw&l9c&% zGzl@6E6%%l9B6rV*Yqv!U$WQvh-^-mBgxv&+&#%DyR)<&ib!ce3f-pyZ3eLY+)+bW z!pidB3Xufmh_zzg9 zT2*}VwP`KYPP>HPoTG0#;cYDt!$bu<4BQNB1#T3Jq}_5}-8&`O^sc_2T`Uh(cniw} zi`;MJ`h=ULnR70$m_q9t<1#+`D#zCf+rCvP(o;L;3l$D-svIS-3BN+}`caw=I~M7# z9~~HGbjiV^PkShEc=#;WNfuK-^7r4G>8VWx=wF{3Yl`7x3Y}Z()ipiGDE*mcIP`=h z|B^KfI2e%1`$g_#bfoJ>NooW{*v~B97!T#?>@Vjmpj)4LQW@FPb_@&cnhCjmnu!H< z_WuybdS2gRK*h>#K+bMFkFNZOwD=}*sgk_<1ZRYB6A_OQQ*h2Z-B%`ln!J8KVY2A4-kuGIha2RH zzx~yKfcWHP-KwT1*}+s(kP%?`tyqBfp(wlcH5!fV_D-JHS4u=oHDxHHHKuHS)F_QA z9OZA8!hTsFPDjW>rHOOq*LBjiQjDGFUs3TAnqlWBo>`AV;|Wtg>1LwNVs&4DV7Gm8 zL!27WYA7#%<79f>{#U;2r?<;@;^gf-Mpph@1d?zL{?B14yTUsK)0s#NUrZOBFIe9fMEe|mU&T1FeAhPL ztJ#6C6naF#Mx9q08n_QJqhh5?#k=V4uI$k%XZyVZsTP+~CbK$n%iCA3X-`}QArhm! ze(uYo=hcfkiMO|UktG^m0|-n~a$&F>nl4i)M5wzC#wCM>JvHDz;}Br2N4mb5FdWLa zIJ34ohv_?#wSnbd0Vh565$?J6<{&l4@%ZX6x^1vqemNYA&+oS#6r+$>@fpys=H>Pi z!r{cW8xslN^RqHK`ZK!bl`Txn*U{Qk+sF+*fs@x1YOf!{O+`9kC$eTKz zcDM0j*(HvxzpE$f=OJy=G#7k`!f|$XSB;z>yH+5=i6SEDKm6HR3Xr6os9#MutBsed3E>FCgA;&48t$&1qA5DmP(olYWmi5o5! zU%nzH#USp@j(_TJy==_{;PKam#dW;$O#8+fagW_vCU=(BhD0mqH5`M582YhJdXb5A z2Bwof-5kPpQrw}*Ix5-e|8_4Q+!ic3;~9jD5-pyM+G^1o{h0u%4HqUJ@y7^lcb_6ZL8$kJ&#YHk-_R~|V#6t@~-WZq)cGHM~ZY(5K(EeXZjp%<# zp|b3XDca6$E!t(0pxCH?3p$P!5+W7XkJlJ-rygjqUx+&19nSsk+zs$R-3$y@YFNL- z5%baU)0Ze6^aZJ@!_hwR2DqXmWgTs){I_01^~joZf)$>YV?!~P{5G%J33+pbB&`~w zl>{N8voYvD7FcynKdFc?hz5#LJ@|Rm1!zIuWc6Mbco++6p^1WlX~SWD&c3m~Qt4Rn zEsF)+uWR>al1dXn@r8dnIHB}k&}yB4t{mY!Cg5pYnul%{WJ*B@ml9Ka&C%~>WQ|3h zNU3>jpvJ8-%lTr3X*QO94U?X<4DryWMIsu-^|%YXZSjt>(F=v?8g`V=njKv^$={c2 z{>-bNNQGI?;1%t^_VTVaB@T8E*WaopSekmD{_yovflRhjQA{|d?`rjZ8ElQ-(pM^_ z4Mt=*w>nAswD~+ZN5)i-LcDZyG5A-MqBqJ#_LC@>QxhsL#Wj*Q?x4hnP8{5!8rahA z=co)$#NcnX*Y3Mzhfh(8{A99%=Ci|FJ4c(MmDAq%yM5gr>Bp57iYOKPWxzF=tLZ;T zMysNZy7qR7GYPL(X7QBWNA(Let%>(#sUmlbI~Y+a+`Y#pQ|+D*V~bQVLV!&&v+4O^ z_~bWpP$*))>VYR;`$~-i$T-lvzhTsi>F zI{0(fV~DCl3LZA#d?9OzMeq-l*UB9pm?!@BP2u_zXoW^!>ukR9c&z{4bC7 zE2|W%jzC1}jtXxR{-VX*`&Mz@gH%%jNkeebHtsZH0+A2HYdE~(3wxU$Nx^Sj% z&ehDm`f)BhUs%2mpY396Tmd|AAb>_v)%MrR=+8Pl8%#@#EmX)ShQ(LYlB14*mPupN zrLk|sR`2M!x?B>iY>R+XS7&!>g8u2+>=+y!wdY4m}H9Qxck;yiao{i-dLxcNy0LUh)qtT~b`;W$| z;@w2!@!_!fNFD1Ma`tgtey`1_U5JBB^LHHj$qM>)-_o9DYw^VC%aojS6YNXQ#@Y(r z>wcdMgi{-lkuN*R&ZouG^onvMk4y4Y*s{4a^i< zTe}VttXKL%Uh~~9o2oyF?C9Gi8rQGl!N}>dEo^B=tD>R2-_DHX8b0u~5oniH17oMF zwg+2qfpL7X9kS&M@6#6Z9w{~g3;NphEMvz@<2&S<(*+GKa|8(b-j%W-b;Y=w1y-f3 z=#hiD$|wSDG3G8}gPQCXf6$yE5(a<&rMa)R$stegn4M~BEKVLZVmUJxOH;&&)($gh z|Dwht5}jV-&HJ-~GEIUgO!=;h!|)UMxAxZxEJB1vP`^!j;BCUfzQnFuMNd$aE{nNs+iu9)N(N3z!nn44!3sk6zBx!j zSc^aNN_qChlqKY}eV#5>F`zUnJ73Z!&zyq|Yxs-i&M<+y%T>YqIaNKfm1u3zR~Iv; z(wgFR;-WcPCt)wCZE4~xXvN^swjm8n}z zDyUoC+*bv9TK^x;-ZH3;HP`|j+}%QO4eo^C?(XjH4#6R~yIXK~cZcA?9TME#_3d-c zz4!fouWQ$?qKY3gdwRP2TfKTUzc3@WpPl3|WkHM`4B&r$64zgTe9ZM#2-c@I!8WOk z^FUY!I#|lQVa0`pf^lzrwVPmmfQ^HvSKz1_Gxfp{lW1eDwqn8nD4(rv`?9cDcq9>O?__0qecxbx(sGYHz#`JeGzlrw!L&{{$d{vy^||ZA2P? z)GRDg+oMY&y2A(Br}?rZcN7vg*$|kXjip4z>g3$jsB_5oe*{e2}M&$ z-2xVjAb{_vaY3kO{j>W;T0gt);F0nQ{nr2{lZA96WE!{*#$U?#XMc8Wnv6A3Jb(o- zaRR+MRCvG6rtV`g8lk#axp$w}4R^j&Q*%`kOkZc2oAqp>{1uNYR;`6ued``;Hle7K z4oa}zzuJ7TBujy-Rpp#^#$S<=DLf9r{8x%=l4Z(G&eQT5?GbR``Mi!??sx~d*6Bjby!NB zUkWtP8e&dnHx%BC^xZ1pJ|j_|6eFufGqW7xHrw;SmQLu`oNr^Tzq=VhY=RM|Dj~3L ze1lf8`|Gw?FoqwoNM$Iqd9QP1qnlKur_mG=SmuEhfaP6TDg5<#*ncdcp6TbL`Uxc1 z>noL~S`2NmK(uu~X}+{i2Xf8P%-Bu`M?K=}MFT6ti?^{+5dx6@Z5weA{y_!&wP%(I zG3M@fP%U&P%VmUBQ(5zE=g})DP8F0&IwKguJh;}_Ar)CO-3>g93T-hzVHT`xK(Ev3bEZ%bKB; zj-kc>$&?H!?-#e)7Bz@)Muv>7wokBCM}w0GTf#hf^YA>FIn=a9YMbboKFd{e3=}t<5PZc{#->mDxd1dcElibcop-!!e9ksy-c<3 zD15NgGm|?XK=Iq}rR=zvKw}secF!vZn{ayLAOh8T$=+UjjVhMQ^=@ihoe_TuA6N0i z>YMk-kmXjyzUfkqgIk-)3{O`ZXsw@a$J?*Fp}8CJ7PhfZK3F8TQ*OF%S1J8ka`onO zM+5v-&fmdkWRZXWC5r9{K=pJr$s<-?udj^3`#6?LckD`fJ3*^K=DZP041GN#EehohPQIU( z#?=$v?ztY`VDamk7q`q~=nitnYGwK$1MNQ1G4i3%=m&Wg2U_lD8L%?Aue#dbqE|i- z=+(!zO`fgFj%5Q17e28{Q`%%jEP(Z^+7*Sgy z(Y``qnNd|(X2gJ&X`}0Wbt@{G;}!h!v#)&ofIfxV$?7SzOWY-N%mT)6a%3tP*q?ix z)1Gfc+#7H3Aw6L&U>VRPFJkT}0_!!Y%gdr8VU~SdK7hnFuduQ}I)VXeU3~oh?86j2 z!Lf`_4JM|)y&Szym>Nw|hefhWpA^6=C<$N?sxKBQ3VI(0EQ+7q-Ye6Ds=Anr))J-K zqBtY-QcZdEn9k^viKR{JHtneDVD~JQr_Ri?<3b zrp12l=)XoRBKFnw6I@(hc=OlB&e8jL4Z@pIZ3^jfUE8q|8FfBh<{8`pbwv7`-U77o zGxR>hM!GSzg)i1ht|Y~E3F!1sKAN7kH44BJ#_hE2iTJGsmn9qIHf}tf^_YBYFB3Me zoq`HO)QuDk6jRFE7vqL$sfRl6wntHFtt~x@KD2EQdlQuB6ww0P?Q;bUw?#+r#-aW7 z8Pa7F;x5=BfIlZ)(Iwefp^T24T!;W6H{qhO=yXnNw0=uZ3Sv@xc~{$6Rsjb{a>=O&c*8VK|{%KgjD=Ml3HD7Oh9R z+}9ztbmR4e6g4%q^vVns5BdL>3-I&;`9B5on?4z8h44l_Ev8NCK%C)5&*QUH<7=XW zG6Xvo93nJ3wVW{s+E%YB0#mw9`$?TD0{A6{B2LlPeU6 z7mFlnV73qLe|E>sZRUb7QB%kwSbb3#a_C&Wzl*hhaFE*V{5$vu3{GV*Cv1RG%lWuk z&04+bcnZCCv+Y*5i`Kv?ViEn6vI|u+ZB<_4ygWZ!bm=WY*T?J5M1Orn2{Q7W39lQE z``y9UnB$USQrT_Ao^Gg{rX_-@SQyxe!hj!H3Duyj%e_Z$a$v=g?%BE|PyoubjTw0- zVZ$&s02I0`47Nd;0<{klcNpCJV2?@40>v#P0Ek?W64ahV?oV=HzplrM$aC`xkx;Vx z0TDRd64i|Ol}FJT>WD``Fckw1`|D?5!jJkGav;rOTu9Z{6;o!-$a%n*5!l79qi~t` zJLalWd(xVMLL$KWTbB|NfbVZ}u~HQy5T~v{9!@JM{a)O$DXX12w)cc|n4gzdoma-Z zxW7&CPKj*kXqZ(EA;wPM-Q2^&OGkemYFMz@RlC_BrmQTit6MNGGcFYV9*PeI0S#k0 z3Xv=)ImWK*__#Pk2E=Lp`ca*|c2^G!Pk%im$szDe?Xg@pu;nD4`xU-myhz~8<%bH? zBWMoVS>>}AGhB%OOh8b|l!MCje7n@ptqUD7x3IFXu&}DGt@V7-WXdY{!!e~sPtEv) zWX$o6D~o{sJH;672~DI}*ksJFy}nk}o-*ZY{XC>;dsS)*OF&BVcCp}szC}ik#o#k1 zD=I352^5hEiTc+yWI_YKU5`Auu^#~u2kM_o=9g3{!@&{>P>gvhQCyTueOzv>2$IE5 zL0KDkb`lXHNJg$*@;rE6-PXI$ShRr-8(-kZap%oh32e7<8`o7iU?7?$5548Zy?qNg zc=7ZoA6Hjxd{<)rH>fh5et-)`Wbb}Tai8K+6RW@Wuj)vOwY4s%j+7)}XkmfM>E!;_ z9P6}a9{R%y**}U;0OS-J)8{Yh%m+y~<12%Ps|)(%*l;D{M`Pgg3RZ^wd&1gF$+Cwo zwgHTx^w)btz^@_8Bg+IO_+g0TjVW86SB3BDU^>Xh>>dj{qZL8a6uDbGckrNBH4m&L z1&UkNr3ftiR{pEDJ(}a7RV*vFI3jN8AU(?M1GlTM<;~>IJD4alF0;Tceyj82pLS|q zSGaV)qG&ld=7G}olb zCiO}#;?Zk+AMQ~=p(5e{f4Rf_=Ep?9VYd%btawtKA`~FXYzaakP5O3>LqP);s^;WV z^rm1Ezoaa|Ov)0-{Y59M*nCZVdKHkm_#JZ8#wjGmo4vs_yrdAHlTQFs^v z`|uuY)4>69(y8Y1m=nRg0Tqda-u?8tSm5UIa{J2=7V zG5DcVr8ZvyX?11f<;LaIyjS5G81-OHc%xa7!HQAvgMv`CsJS@<%4urQosUVlf|c6A z#_I@|0c`>MfZ>^E`iBuHUK=@T;schNyd#+$lU~mJxyw*y4{-k9b&6{zR+0xo5G!kS z_>bO-*h6!c*ycrHB}9Z_>z%yWv?<8&1!SW9zuSPIs5-Q;NNFIe=iUna%O&}tJ7t3Z z0Lt24jmpW;#nhd{C^TSj`5oYa)@xT@o4!>d+Ea79IgVcpIHZqfUR$OxqIFd&O1o~8H(851%m z7a@3UUW8+`z!@MXFYL8nB0wp~FKG~TW{ii;f51dF;Gp*J*-X?Fvz1WERi;FM^csx} z$LJ?`Kv{FE_DHnZu)8a}OXWfZ038|#Fk(5`8zb9!{=NraqVTbk6v{P%{2pbq$DN0- zG&*0wNyAe)O~oqQ#Ei+1!Q+k~$E&$r%@EsLcEqL|q=D}soh=QstKUd}hicp=gCm`b za!p|qEo}shjJ5&y(=2n>(eJ}h#0h}o6IMDtAZb~|5lWDgMmxN0AEc)a3puz`2lx-| zkb(=UA*JX$D^(sjdtD6hsW$_c-*_kiCNvZY5&AHGmkp0V-!|@EL`U$;Zy&dL1FHs; zgR-A<8P5Gw+CR{M*kjibN$+7h@XXC)Ac_o|)=#JWt<&R^QIDm&=;9-ze#3R5a5EwL z>3XWe8=kSg?gP{u34kq+Up|Q*dgs zVC{@RJ`n1{$%YWdpSS{=wuX~B{$+F1x#wXo8qj&Ctpm%RG!1C%l$!hfF#@9Csp@FU zfW^(=!D`l|PB=jLk46?sgb6dsVNe%UQBolLo2a^qp6t)2|5j~pK_w08>QQ<0i5!!t!r9|zg-@0n}g?Efu9dVb>kAaMA{{VUad`|7rBmjq(+HB?^ zUH=P)u^APHZ1{w27GALP^IR7kKtNd;zqCjtp2bS*SP2k_JGFuSLob6|y&I4b0uR`v zv7-T=RjJ8I&|$8(SF&i@I<`2KWIOC8@2q+y= zqWmuVu;Z?nZ%LI8P0%i#;nL5x>u%KeX0&qTPi;-AtM9Si+?BD=7P`OBGsOE!n{Y3Qfz!2z{W>gdZZQldh_Bs+EyMvy>$!qg}KpU?C2O3>;`s>nc0 z^){o}mbf0S#*7C@8&?m4cup0s*XQZh0AM2eLNB&GgP;ud^JmNiWt@qp#lR6O>m~qH z&Lsla(K?#2faWb%Tw)3le5}09F5_g;s%Vt98r-sd?`4|NF{{@ox~pheMAV;DCK^Bb zq@qVRmxk_>)BSi&MXzGnY!=_aQb%PoI=y;>g1*{R8Go*l8W+6mYGs28bnXofna-D@ zncroRBqi%hJE4NIY9m{G1tSr>rghy(Q-+JP;%9u_^_d20&dAxwv*q<&B**nbDxk4M zWt_mVa<#9m(j0Gn#l^Gu$N^MprE#;Jy&kcL6vCL*M7rM8`6SGlaxm#~P7ox2PMZM$ zI4op<-iwyg>NAXp936Xrl(5HVe%ek;Z#&t4WB1rjgDWmLU`XS5ne7e^JqHs2%kC$Q zGx2f>R=#K50D#3~Ngz_LdcqD}yOuyG_GWcC%{gJ_utu8rlt z!EWSkIg!P7A&JlbDEQ%X!ZC{)(P_OQ)K)jbBAv#gH^&VjW_iz0AO`iZ!Vt6;ll}0X zFt%4eCVdUAznR71tAGc=#=2G>6e6U9f`E||Wa4By!F^l5etuz3&)DW_2l%eG4i$NI-eEGwX^pNk~Uv=*wo&x zSS+a8;>7a{`Za=cS23NHAh?71{O#>D*{zh{0jEDc#XRFoXnDRK!ya{8>rJ}YeyZ71 zYkd3rhTa(e`U_29<{#f*>}-##R??}TK02iNFn@j;k>!tK{V2u2%9|tgs}%3Ub%hH5 z@el%W4y#ymmadh{$x;n!rR<4z==6Jv+Y{!4rgfIdvt8!#@>Ux%gLDaZ(5*l15#dEs z;CXEjDIy?GzP+$UA<9%3WA@P!R>zxkFu&VpGQC83(Uec7eSrgRZjOI1EtLdm zh7$RT=&S}m!^u})C70gQeth^mBQYzX^Ges)WATV73cYpx75gmomjn6jakYKomoU|> z5(4K(B}7HelkL)j<{o0u@5J2f+M*}EF8E}4cLbfdX(3Br^PrWrY8YqDg%WIVKm^-y zYqXrKZ97Er38{`|i z+eFmEZ|Hh;qVI#lk2SeAw>$M`KW8d1Z|SA1G>HhS4v5~G&PcY+yx&q>y~ATxR%tE6 zuPDNNeb1J$``McRv|A2fW+8fv2ga{CiXG#P9iF<1iIHz^AZ*2o6xFy+Lz`@56J zSFJ&6-a3a`;t?BX?Bwh-{T>$0mKDe3_5Rw9{)|PG0aT%IeZJ1C=LzA%%q@cW+TshN z2-N(iPRBRQ+(6W&Go5jQ#OFuu8vWssq9Fe;kCb}rsP_k!TD442L;_!r%ZtLKsA_s&KeOPCdU!4gwzz0(CYqg4BoS6lz zi*2z`;++dNHao}53=39oL->a}=Uic-bZ~BAdu=LMusNQKRDGJ8oduwUCJgL3dTLFA zeurwmh=5N^(ioF!Sl58R(ar07)&mU7WvM`x=0(&H#9iCiQguOo`V z7KuW2-ol!YB%vM8PIYj;yZzGuUU>52Vexh)-6 zV{h;ciNwxywL9H5VuO>a88NL?;kE!L`F3q_Ty%Fgvj8+X}q;xJ1qZLISiZ>f0eZ*@@O8@HWA5D8Jy zemyJ_7a$Pwn6b;wfP$kFTp@ImMW22gnyt72@8r>IZ}$!RB@lLIyY+i~#{Me!b5MB$ zNdC%WPq8&DfvZz<*~flP!O^0@q>`RZyvp+wOG`s30d>30Rc;{A=7Wdj3%yN%k?<%< zrd^6Uc9#CSdRqO-?OEFVdctd?cq;N|w(vENs563=_3iqo8j=I#%BRg0b>8dhiN|^y zk?}V7f?0SWqOa09gz;&rN|!}`Ck&|nW;uSS^WOeiealD7)P$s8RmPtw?7Xp6aL?$u z1gHKX9C-dV3JPA6haM_U#X9)T+sz}{!na`HXy7fEbl^IZAa}9dumf=*xk!X3*K@t= zqbI3p<>EhiA7aTZ#VgZ7ROkGFGEGgzFf|Q-{k_p6<`DaOT*(b&Q@ zyO7u;GlwZJH+m=X_H{ceiE0F6?I&|^UgSla4;>Y?EaJ^(L+4Rrp`o+;vj}-A=7FE* zi$UKX$^j9BamUcG!qeIQi38*jn1P;6qJ@CFW!C!g^7_ik#?sQ#!p6${dM@^I-`>#k zQdYTYN9vU!sPvrJ8hYHhs_438-A!>-)xz`arHq(-w_2N)1%#SEm9Gi;>y7U6_}H25 zXruT~e5CyICm{V93Et~yNu+x=J6(-7H0N^IZnJslgO>CeqLN5Vjiw77 z2_Z90#pbmQ)&eb!b#IjP$g!SoySHG(b)#ej1vMolQg8XP(#o-z%S>V=v$s5H{ch7_ zEVC{bF3!#()xn1?I8t>=S^F%DZ-(bX+zd0}=|x3D`odjRE$rF3-%O^E-)XNJ4hEno z%O~@v-nB%Ked=p8x&Av^-oy0&lg41aV@`==9cQg~J}_2tx6|{R4#cmedw2}7QGU5N zsf@8hy!U!lB+yu{`MNv>;b>*A74xz2MNKbVOYh9}tOLUFJ)p^4PqDOZe3g0IPYBPA zWbl8>{0~`|T63Iw{CKLAO#Rgv+b3#O(_q}Aqo5>AEng=?aSrH#TDKN2m7a)s|H;%> zzlZRO>{$KW_JRum|CckY`qb)(Y1NJ|XIRMp9vcu}n!aw8=GEG95V*wt(}$^=eX&6; z|FsgKp4eCW0jVU;@oxdgbjlr;06(8U{oXBUO0a?c&xu1njEsa#Ic56ycQ zH?s9Hvp{BBZQ1$wKJMw2s{X_vXE4B$$m6Jg{%^J=;4uJ9qlN5rkczOv%aTigM}LlC^f= zg~sq$9H-2)=DC?DH~q%uS1lxQ~{S?G=eCG(RD`qaF4^ z#fCyMIB9c}2>o9Sn*Xn}dc)O@3woZ*J<9*nZoF{gewFJQa6%OdldBMheIiUmpFH8e zkU$O|B2O(UDZ)xp{^n|WuoQJ#8uDH@Y~Q6h^haszW{$;a1$M}zN&I7~5Msq7Xu0uq zJ!}0H9a*SI(l?(VN7;RYtl|6a>}z8b4}(y=ow^om{c>|>`>G*X9nt|oJz3L_$^4{3 za}&ko&N0j$$|v5%l~iTxGxMgm2qu!qkz6!V{Vje35PFjW{&no_biTcOKOIp8^(l$J zbgGX7jXAO^_2JLKo9m?-J&KR-7||>{J8{G3dKHe$A3x$P=Sf@UWi;d)e)=9*J=Ici5L1g$osRrx#v@Kf3@5u z=_eJoG1|5S?Z-NZ)kpJ4ssp8Z@Db2PyU9cY+P1pO zu%fM}nAl+zVhd$_ph<;)D8Sz%w0d|*6hCcI!E=bcrSjW_ zB52r9_u)I)V0|`?a&BAZ4~1PH>-Rp{Tp>N({rTt4(GFcyA$3{2>=!Wu&tsU-wwi8Y zE42n>x`9ih)rM@{+`TvtT>H!xZ8^oF#PW6i4zqvXO)f-Gt^&QXzWx8S9hnCEo7*j6 z77uxXSafZJMp)?xFHyP5HbZL3C85~a_wKDstPyn$u8xH*oScmOM|RF4hc7W|U>R9TjtPV@C8T((E+jY$0V70<7GW@UfNY&!Of8s^L3Rr%)iWp(SoJq9lTGmb`et285D=f zJVT1~MCRAf8IN}6XQX@ht4%?{J6Py=Mxj1{${jq9jB~d&lOkw0+{Jz?u@}x53>VO* zAD`6U8_fk=j-8vesnqNsgT2y4YksM=%}#!Ml64>fddJc|1>_&XOP18TK1uK25mIT$ z)s%#L>bkYIFxk}Wqxr9dF!y8Jt@~Ol`t`4buc;Oef+m8luiiEr|4^vkP)LH>klRr| zBCaEniZ=@X^|*hc=%;%;Nz}}(Mk^3~QI#oZgni!ov;WimR8`C>1rtp{W8>rP=NmW6 zT@=l4kip%pJhcdAo%vGTnci&H1amGw22@+aebNEJWbPUf0)8N`Fwz`|_oRJlOwQ?s@R(nOh$ z`YrVy$SMvuUfirzjN_{UL|hGK4~pZ-IZRT5E^>^=O3Qva74Bfy_HG<~&(z3JgcG8pwQPH5pK>=tv!OAot!lQ6^_$*h@ zafK2y6pRxcrLj4l#G^OO%*4`zMd@>C)bHfRZuv&)F9}G(ms@yTIJ1?wy|M1l2;Wnok@(D3IkxX)W4g}z(gAD-6<5t>nK$@_^iAq2 zXPSixz=9FaKT8k&U)HJJ`m6bs;1=cqoe+hMfF6dpcx(2@HofogC>r=@^jH(!E$Qa1L z6x1`DjMAPCNrX)!iUVNqzyxJv)D%enJTr7C)>Os99N99Z&}=q!8k$4llA?WExB0|o zx^6)h{aG0JnkbHX;NDj*f$l$Q0ydFt^|e%}>64qzc6|W(lwW@T^IEP~-FC4Jj@-M= zZHCLY<^b$BtB)=E4MJPLF)7jFuU&WlaX0>R`<~$GwY0!U>=!QePUJ}?*3Vs@DI|$V z;w!5xp|&dO(bxosD;WeL)Hi)DG~8fmtwU-KpbHXd-9pDQUCm6uejf@_;5OTq})4aAD1E=~Qp&4wzs*Z%z5q=L6%4ik<5LGoyEsNWqf7$}|{2PnUH2QHDgI;d;vT)jvuoSA4{_L)u(an;>=l*&hfSN0UPg zYAM0t&C2PRs(eW_Znz*1pWnOk7db{@UaPVxq1rD5!lY5rLP6Vl7i1u%63_t-dijTa zpE?*a)`U;B%OJ?G0qKhxvR9*4)RwmP$Q~H6Rygsg&>D_$(}`Q`N-zH|DP-7M<=>;! z=^U#8;UE8opnogUp7Fe)ioNh9HO4h=M<@p?C%dd3Hs2EZ0KK_q*jRhrSJz4F^Ygo< zVsRWuZ+eExpO}31+`hLwWmsnD+*KQRKB zv13{uCQr)qC^h+2qf#<^iSC6C90NpDZ~=_i>~4#RE=}Zv!Z82kDx1q65IB`zrxUlG zU`6V-5mVz6GZ;0Cp87VrQJ77qdRO|%xnd#6!{we&O-F3qaewE4r%9su51?03ijq5e zgz3((Wu0h+UNTQ*+UgeD(&R5Db+%c%-#&BuVh4_h3B;X%)7r|-VtDb^d2%irq5z>o zMeH$sJB|Uw)Ei^guYSKnsDn&*JFNiRKtVup@#UerDzL|;a0oRs1%pJ%YL*Iu9)O#x za~3@*PQd+1T2Ton*dkBF^8pFWvq@&X8Uetgmdu`{Wf{=hRDiv-g(c~#nvw`3rUeO9 zYS$?X|1hd$ApVw*G(z;hT!3$n#=l24Ald^PpM4L0o0qtQ_12d;@4{wb)dc)r7qLQ*EgmfD{P&{%Qg~lhp5%>yrn}uZu(olf#}N;<6)?v`MHl# zizv^WaD>R8wHt;gD#~GfF{-u@`1%Bv5Wr5|eN6`jsH)yp(AgY=o}*@`7#e!@Gu@-V zMQo?=B`8p9_Fe6DCk>ZmcZo|)VE*xngY%H(0uCV2J5teLRA~$vNkl|a<2Io>rF9GC z;&Q*{E90_wisSPP5E1N~n<$j03nUz|0!BLbM0~g?O!+04zpX1GukoOM$Hm2gxmoJb z)?9rEYGXUbN;8c9b!D+yhPRMu@r4w#eJ#j(hI}evM(J4a&1&Be*@!n5I zsOP1;O)QtMWl|$A9)_ba6G@diLQYr76Y*YgB)0Yu?tg#lj)J2aY zZ%nJ#0X|7Z9>@h8u*$=wCm3k*4h#=AaSA7E%B^xU+j-?qPoq#(Hpd-!U%sRv5n0;t z84X^xjh|-ha`}wqtTfF!9Z4Ld4IkOp3o1Da$p58Lp_NrNtxx#u%E}vENlEpq6j8-L zh1_dHx8+YwUx*e8pDJP&XK?P}2T7_F+2I4)c`4OsLbA8mB=j&=}0O(rWXHk4l4H0*4DIiK!_HyC^ddm(J!!(;06w){uGJ~)C39sG3 zyLRue>ZIhWk0(KEe|M3iLw^vSgS7kVx{p*#S?Y(N>0D97n~rW4v?d@^b>KP9TpMyR`l*ITu5$Ka4LJAo8_V0ieg+{pcK8lA>_Ix<#*}X6YPcgXibiDog+v z8Wy5}78kaLXNP+?QAcg`!()67}vkn?$rR8UT3wX?!j$;)96w4@(l*S-idjv^T&8%6p1*&hut)!}Qjs%~}e zkNAb8r#UXJF?7D0SanAzuuzk;3$8s>lw@oZ6FPi={(UtrN8B|38mXYG=TqZI0)$Ht zq0KR=EV8ak1cPAKdhCKaSoy^`1&AOxYu*LgU9Us_S4U&6$qw-$wJmtQvJg%7F!K4_ zA4Ni;{-<(a8yB35N)G-ZDAZ?GcVR}Re@Ug`F>^#ifUCC8DqPNvHy%Mg(n&;=F{*R2 z$}xjg-^3!eTLfGI|91prRy0pXvr3^LhK3rF!L0S5Zi5IOJ@bY*|95KkrXULRq>>2> zGB51nog`gTp{q5#igIbsU^7-uT=}!|xt-?6NHiLH&<4M0Vc1~ZWd&NgBNGL5q3wzJ zU7pVblAlKQ*HJRzdAEPiT%AA zf^}?`JH6bi-wKe9P?-3+S5jO^UQ(!br64Mm=1pEU&?>`+ZX((O1stM@3zza7F&+#D z+4?*PGbcFk6_J+|AIwV65_QY}#VUSR&3-$0ZEztmO4=t@)g6Gp_{2~4sMe-Yk z@#hVUgC{Rqv;-z;Yq zwO<~!G%Ogfy|!uv>N*$vEF?c2Q!|A)NSv9CUN+RRj(s#&4|&&R#bs>vN>#m*c^e(r zC^{NHfll-p;q}Q?Ou*x)fSGaKF4i)nV0~`)jMdGRtdM~1SPU%WeX)=TESZk^6}jnEm{Hq5|lT4K5xt`mLg_D;}DNbxn+kOEv+0(kB;EF zou{}j2bDbY&zml%|ld$}}JnwRK`F|~NgB@>@nJx0Rwx`NZOP-MJ zu#SyO8xs;Vs4r%E|6F|6s(C$im3%qxq|V#Z-TW#%(#=X`!F*<~J~x8$`gsHEKPc)H zrk}MI^b^(EUn)=b_P^T}Oa(=7HoB6rz2C5U^-M5CcD3Abi|P11Ar(AU?YT!iYFiv5 z(*3_U){82t!0REU!ACfu88mf`oo(CX`}Fjy;oh@w9cSgmr+7{LgBCMm6b|8lu+ zE$#?S`S+5HdK}t+im?TUO{@^92J^O$L$`nC&Hwq_bF;b}ZWg2#OvTzk|MPcb{oT=A zS7KkR9n^pS@&EtZN4z_**=B=t@JXDbfcln4j9{hV*0~Mj2dgLUmp`{QN!+55zS-{F zrweoYp9dwO79s2~71nYbs+|XGawg-jXRXxVNAIf8!WK++f z2fGJ7C>`8o?sGZfT+SPR4+o=OCkk1)u{Ef6n<4YR#u3BKfDV^wVbivLo=2OHNJ`< zL#ryhwLOIDItC9~s-1htIoQSVhV9{Ks+n%NLk9J+BW}(eR8m+wEL*BRQtTkURB!q4 zTnk$%3T_U0(7?CxicR(q;LFk%4tF8y@Y-IYSpJ>9sA<#g-z-sb=HK9Ho_Tl)VmrK9 znis}-Q)Y2)nC08#>}rUtG74vobn6b2E!Z#A46eTg@n}kNA+fQpU>uSC?bdsd!ucwNa zctHxUi91a!x)4V2sN2DO*M8Yav2l<0&Cu1M*t#Cks6tVD+;lrR>vf_a_(=;u2{2Y6fjUXp1fr*9x>57kl1k>fa9+@>4%9<{U}b;#f}pBTqu}-ER+wW!_%oD zgU(MttL;-Ad=8IynuIACiS3R<&Bd}I2+XpKSrZl+I%3uFbph?g)8WSi&1rB}A(Gs> zR4JWx3L?bLq8VY5^IbmpCCZ3OIM@!f5-t4BT}-kCBMpL2(1U^$bwHyDdm(&y59F8) zg1wT`7Yz#Oir66`uav-5qV-$M89@(n;_pU;^&}CX`m!(g_G$8fsO+b@-Pu~u+$!>_ zB8lKc=dyV0k1`wbGAX53GJ@9Pus&Ya2io=^Rc_3Th;L7cTE7x5#!<<-;{Dh#%26Y_ z+GH|5oj+ibEY1{l6^^FATtvq0_LEv$UW=FzqMK9CyMOBFXr($)_-#EnmbIwL{9Gz* zNZ}}m;#@w6i;}rX|8I=)mExZS7Oz7U!_s>iyXcO%KM-N^9>qhkBC@~h{hI9DatOQ6 zL=!UZK0XcAH)l@B@ljp4usMui^$t3>PndLCePqJT>qkq8E?YhIV1U5tPAJkb!;Dne zE?`H(cGd6{je_KOh)$&(-QmEwQT){uV!loAJteAj9(S|e#0AdC6pFo>9~45)eT%{9 zC{Q>!K=UFeG^n0h4{sM9%-i!BHXR?9(AyLrEt4-#u48C}G^j+JvNrYCWO^F_Km-KK*{$}$QVExdEt}d17oKKq53O1Qj zyu#BQGjocErGTnZrg9<4(R$kKp*F1J((<7aTDh27ENs#Dqu)-lwLt-x92Q0s#%2+u z_l%`qa*Jx=^H;6G0GG`d_`HaxGbRM&}Fk3;dysVc+W1^3I3+zr!WK29pNGDG;MZh>$Yu#M!|8?QOlyuU*S* z&hpVv5UZhjby=`id}~;nXpL4_8T33s$jD#GuL>1rIDJY%1!@l*!O)Aw)#W{_u!u1` zi&;UtBf@C^4sWg~C2|_Aoz>H<{tfm6fT(57VXRPnUA;svj<5P@H_gt0C6+ze^eH^t zO?nv#$Oge18M6wCB`v{J9QQ`Do;Q1`qpaHyC*x z8@t(ne2vPrQ2}RIGNteQ+g`dQg>tJRTi;-}Vdr*Z{#A)DS92yAZ*N1T!l{)#FXSveNmDy44d{9VI_!EmEfYi}_cKLr<#W2*fGp=Jje+{1cTSpV2 z53`lcTlzd;x!kN2vpaN`%uZq7GmWv|eWBRmxy3FBi{<`-oc-(*m!Yt@)VGXzC@BaC zSR8`&B3Q*}XjW;eGXmdhjfVp@hSL_rL971!4J#NY&;L4jJ#14^0sDFM3Kd2!pEVjloLfe_nw7#e>c{ine$N0=X-O$g008zluI)mznM=IZFw z?i}8b@PcgfcCl@d>U4TvN4nv3|3fZccp|}p74uq77emv5FotX~mC5$gA#VoDQrIea9NVPKN(>b0vvLzBUL zZG<~a{nm6;_cEKgJIC!yG^UtZ#|+1~isd+TLAw`ZN- zswNs;-^{q192dq$8D5N*!FGll>-_(8sLN${Uv`W5yx-rnERLGhOk5a1u8(z22mrq! z0N7aizsFn|K0SPr>6XW(wQ1Cn08rbS$m}rY#4-RT00aEd)WMzpRdz0U5X+2~+BFTU z9L45v(3U-pKRO>-5|i77P0Z$YvZ(mgpS^cPL|0Zwx*Hl;9RmRQ(jrV{-imfsSH4cT za>&GVj2>r{5B)S(-F^Wot17unYOnf;(xr#!08VpGqxUM~g7U~)PyMlJA2+~2LaXS{ zTtgSTYmLu@Xxq#x;7*EBdNd98$BZ;rog|z5D058QR2~Izkt$5-^lT*h^`>-0b!9sF zvr&~n-N9Ox2uLHil{17^>%bL1%-ZHO0sOt5CPK^%-gGAth(&B~HuTZ{O$YC){0oS5 zW}7z7nofQO;6hnsH=Wk0=`QRVGK}lX4S!*)h3|=jj?Zw|siugXnU|t~2IbWIqr{;{Zg%Qza4n5E>3>G|}G>MU&LF65%aFr#v zf8Cy!43Zr{4NYE^t6mq@&H6`#uH_=3P(V zrZ_BrV9_%cFo{)Y8QcHCzN!GWnBF`RF{ zn&swQS|x`*+g4|7-9I(|x)Pc{+Ki}`KIw8ADfkKIwQPRAN?E7sRgXkO`&<4w^!2?T zCF{%xo}XXM<{NYd6NGedr3@HoeX-axWlHfrrKebLGBL5lZUzh$&&^SbY|BAsfBsEK zQBC_pf;~sM3@ULvQ*ZzL;Kcg84z2*55Ts_iV|EBSm{8uQ-iiM8UxU9P2Zh2vNtmcw zZoK8if2Mx;SiS!QfH>$v*L6oQdW~` zEzqk?ltJ#9MZf87_zu5k!TU~JJ$WYNSNUYWbJ2)jKhmpRQJxGN|Ph)8g-u zRh2&7-Z`!Zh9||e=i0t}G?^nRXWg&>aXolA>ji{Bs3biFzTt4!A4XBEaG=+xcB@SK z;rwN*!+B&Fb)G6@_OCeB4@C4qS}YO^tpxeA zxWKGhBMKn5JcUh4PRxwXVWB5`pV`RtvN!*#v2XAlKS3f$C{Lu{%Ukwp{CZl#T#CHh ziUyGPx;x{q^dkZ=OxaNzDy)*jSDmi&y3;k44v&0@_QY{XtAmJLDh($O72n?RnO+P` zILIgfzEJ&AhZvL=w?>oqqPn?FiRpAla3)CQ%h5W^7yJ4alex*Xg*?Lg}dpx^+Ukp66#Mn)b&JB!a zhnqP5hQZ&d3ZA^$!@0Eqypl1NSN#-(OJSS9~N`Xy4>ch!srr(H=(pc-U_66jX&ar-#;%~|G@%eZCPMBqirsebW=Z} zlcU2A86_otZw^4WH4Dwv>jf=%dvEbJ(=5^eq)de6Ke5>i`sY9pf>~I^`>P)mq6+|! zdBvYD?yk|ya|>G^a^6!W_n4)A*b|(e665(AhP9&qD6~a78HqW5Iq=7Q8EF4Z3kLh` zb+(;3jMC@%gWsYbz;0k`(Sfw8t^)hxp3w<)di3U`+iMH0MJcPz2YBHNHa9)RN5HB14KU{HXC|}Cp6TNmf`Y%KTqO} zt_r$(0`zorbcISSu5J*nv^~EQmJV7V{9~w%|e%`9n+auwZn( z4N@~JF5<#{b{2!j1ii#myQf|zz1AZEk}9gx#XgYI)5A1;hu7J!crx)=LSCoc@4Y*% z!%$|RHX*f|7!Ze#_9+<-&(Y0bPikZvDsaQT*D>4!7yP zve`CrdD2KIIZ8g;*Hspy8koKQxFG}UqcLeD`^S?ctt?904BmF*^=Ni%Y-}@-?eB-` zlcSo{v)S38A+Rm{Kb*aTb0p!~KHR}(V`F0{8*gmewl;RM8yg$j$;RB+wr$(C&2P>* z^?rZAZ)&Qmrn+aQt93umbzfk`E6?6L@2x@tewr0Sej$&v{`D4KNEuDQ>3(+-#$!Ij6<*d_;fu&UA_ z@BL%1iT(>dnp~WIGdGp`&M(h9j>hC*#rv&`oi9l^~Ualn)nTtt9 zI;n1@PxSlFlcmK;l@G-Z)&h@H)#l0|bdD?lOu3zWbaja&81=k zRo0W`Cu8DZj}S_wPepID{^EY6uitI){r&@rEL9#Bu0WJNC_@jUF@5XFO~yJwPxTTW ztGv=anEx5@koSfx6pn#}Bt`$Tc4xme@sStJ?`h5?OqW0-LSbm+VanJBQKup2sx=@IOsd|3!qNkG^?GNof`oDKh>mZ6= z<1N~S-@Nno1E?QT@lnX64X%Vt{gz7U^7d`E*m2{QyC%8`<~2{zU}(88ls);A(_Vc@ z`}5td`DiUgo!o+gQZa@O}(i8FUd;=5l~YoHTt8d&bM$!xpmn_ z^C=VzGaCC;lNiphQ|DZH@Ht3GM~ zOWmiJp|N{I=+7=D)8rw_Pwr({00&fIqU5(@=2G^*%s8FRG9mW4mEZ!kel#;FLULN= zn!5Eep5Od6u=DtDp&YrRi6tK^U7jw5f}nHW7WAh~elbTA6UQJT7S`Sr3hO~Z50o5c?FFd{(}-HN{cZ}9#?zUN zl*!n8B@*%dVY&_?^^5ByIItoYO|yUFus^A5+4_Vk^hPt9Kgp3Lw4W0o@GLZXE;tO$ z=pt_e0i@9f5Cv4w#hKW&yWUR(_U5@i1HO~l%iF_&&@h!YabA)ylMosX6Y;t`o6iE6r`&@+y@N5If5WjjML`%FFb<)#Z0G0&A{`etj6LA4(zH zY4k!N`kc+zx{T)^KXUL?$-U@5E!gB$5?MNvaey_iNa z$EAvYm@^`2p@hsG+#Bqean&A zZR*ghL!X@SK#{F|e_i|)+|BSJvRv3oDl=?IU@W3|epl>))WsM>-M$I5S#KFNPqVT4 zdDZR1b277r4t?~f!L0r6VZGJU*==S&vG>Lp0m32Q_k*0ZK~T#p0r6+OW%hpn`5*)S z>MT>h>VEfIAH%Z7Yij*{A1xboNQXx2QuP$L+Cd%^sUwaDG;y8G_0`tgpOR>Xcm4y+ z%V%x9|KkEMYTzLTE62V%tF#|R6Z*u<%9r^B&Km1g&~@+*UCk#;VbdZekM38|m><(v zR*bBS4WVTxn0>XBl3Ve}VmMe|ReLXQwf!8ttl^vqmr$Eu_03}?Z{&3qES$xb!Hk|f zymh4&)4bw*&PW@`x7kNUce8srOm)0bq|G32<$6cIty*m4w?zSg*ZuOWzE1o+s zW#21*RyX6Z)t1z}>2{&G6|9D^K;;%n9ir8TPN3xGMnm8%X4y36{`=iI_oR~7Lji_77 zNlzug+3?yQR)4eT;(jQaTMHpD4@HCpFxgeqz`57iKNB&te&pqy{;53;;B7O$8gBKm zc`wZ?qJ1A*5Z27%d|l;EmhUU={2U{hdZk1%3Fn*VPItW-5)?*ocZOj7UmvK0_T*xo zG!!a!xE`@zXQ{b+LO0k3PQfAa_rpU5iU-B{#n({?3{qoncur5)c10c;WXSG3%DRgx zo8F1ed5$mKY_HQ8VRaeTW)7nYo!y%o;Y;~*c1ZSSuTR{9E{&1rQU=O))=OyGUTZ4i zR8KqI(zBQg2|S&Sq0K+U^XgtW{Z*r1p7>JR9OHxkiMfyMk}e8aNmXDkSww0_2SRYI z{5=W#M($_U7uz&?;?u^K@eA*-L9#-L!q({%WN05d~CLHj(#y z+P^Pr&|*rHg%C-QF{P;Dkd7N>XfN=ST1q_f%dkwhnk85A$bK9u+$U_bIWqO@-HWar zUgURHwpFklKnzaWo?hfr8??i<|Gu}#NxVr92 z=6NU~iH4IALoeTML8FF>j}~Lw7eTLV)M$3)-tSu9D=ghRJsOGJ`=@v8ZrYu9 zA*>t3zr`awM4q}7uKULFu4v&D&DIz;;+Neio*yLT>XukESC4&T=7#Cq=$WWqptt`?d^;(l! z0{vBcl7p}5?jh=v2+6qo*Qeogq_$<3GtG~WaR22^fbja#fy=jq*iM(OXxo9#qsgI1 zi|ERVXL~_VSu8uoTU@7+^ycn<7H@?3&(4G1RhubsdT?e~UOf7EpwF*dTuHWccvpG& z|6Be4w0w=@Ok?<(uKDu5qq*jZ!Z-Z>+TUAMK=NJO=ByVVj|Bgm{iyi!Xu&hy>0p5Y z55ETw&o&k3?Wp1Bl6>AJ&*#@@Ea#{HG5Nh^O_d*lHri( zH38%z&=_XkZEZe^${u&PO|f#K^RA9sbF-~RI0Py<9k%;dBX?tBUoSFA1?pimhtE&Z zvu`9H(k6AD3l@CTc?-^66BB}w4X2qZp31GIR4>sQg{3t}0wAv$-Y6RtZ9T_3Y9B=k zK}kG+`?KiJ^vYsd%@_C2ln{l!^Y7m`6t|z6HgJ0I=SGlvI|GIr>?zN%w~K#h%r0CHgLHLVV)fFqEPJ%8eSG0QyjDd;smeDq=67-& z>Djiyy)36z{K~C5(|ox%_VBRn-V(f|Ma_fK+L)=CAT6B}Eg#r=%E{a>C?IdsYc{U? zZDYfeVG`Y}P?OsC9j7dv+v()Q@Zmr1(4c!E)iK?(#mUl6_cQm5-)z&RJMwF{rYOig z(UzR`?jt423629%>*35M6_QxbF)rR#-}JdsR9W?a~Tu+C9$wvKusZU;I0S12F=MiI%>k;(SER2pcO zUsN-a=6KM&I$@SrgCaCn_cw$2g?evBs{X)E$8P$kY+3b-tw{(9E3xr<&HiF0B}rd< z$8QzVQa!hn-~baBxeJ|+V+j;O3`+h}o%ll@dbTX85}DN&&+Tn1Vr#O{+f8l$p5iKb zYXU;XnL?*>PSabmdi3j&$_xF*U)MKj+f>jn5N`wCMhrfj>`dW`CL=fhMi=dtTyMmF zpb@JroOaWphnIIeW@h5g7r^+n?n^7o#GhKRTd%b^oi^C4E>@=GJ;`yM0pYqeW-X76 z_gmb@4K063=|^4b4UTiem(M-Fic5Z~i7X@EC{rREQy~46`AOG$6~M%&Pn0TJm>|u7$DZ z>M+K$E5TGL!9OK-S%=@(cBbvUV~)XrPGPN-qrEmMsVvcWTPIO#8CclZHjPzb_7+rE z4~W$z%n|lW8=6Pw_Z!vA?nb*ANo-WMbeG?#snXwr(!{ItoOZQdE?4XhNvWro2HN2$0dvN_})6uoWv)Rx1oYH$SM|ec%JcvPtRq1Z?UAK zrJz}lZ{K#pjBczkCFPZyGi%!7=8=6Vn(_RX1?THi6(Pul6I53g_nLS`RMPo+_d#{w z=S?67N+Ipq=gr0Ws{A_QA*smwQ@*rp!(!c@AzLMx#b{07pq==ySdN2(2ExUqfoX!& z36WUt&)Q>~bvR@xS5H-MhZc9|_znu2r6M{_^0u|UB2tgWOFmD=z!8Cvj@pI5!R>5x zG6TM5kSp!|#u&AM>c?u%y^tbt@8v?{G?llt$h zOvMrRI-jRSCpZY8>4jQdCrRxV4sIO13Xa4Egk*N61ukWn*)Y&Jmr#Dw%5}|vW z|6$p0K4BAjlg1s8h^LVckV3xflF+QD`zVG^bS%X!{VchydqX+}*CSRd@B~gPckviu z`9X=_>o2-|;V6V}ye}^_&9RcgZU(Hw7u^0RLXC?N71|}^gZAhS1s|QbfNz{%V1~`R z$ARq^Xx@!~9RDN)zpKXJA1&^QeZtA{Nux2}n0XAvJ?@lEsqK;G(>k zclGLX!daX>wA3i1^ia}E6#(c)l@>9(>3By^$GVifE-zju#Kdzd;*&TVRZ9W1<=mbI z3g@B0Q{N8jqGIyE+#gxJ2_nvD!j5dGea7WbN1a(@gd{ z8Q!~Qch*v_w!7W?kUaZ^bFa!)4=+`MA^rT%@NRSK5WCBg*WUg7SZG&HjJS`x+B$@# z`B^DJWN1yX-SDhymNo#^`{BzSKBZX=`q!$m^|jPu_Nxp^$;M`JhgmTwHMT12qk}pR zVj;m*EKgZw{>dt*Xalc3G~+HqA$p|!zVu2t`8-l|AFEmx1EyUvbuxEP&m1A-Ph-UM z`Rd7xo|%P>6%~U_t;I$aOv-yrCwmPje3LeXh^TlMR~g=K%etmPO<1;COHQc01-FFQt0AtS1I ztLD3LAon=p;srkOu0Z#`RM-9 zxb8{+*v!#C)1ZsfeG>XL=INmB?fv4+O3QJm-}V6j2)vD0v)^9)wP(uO^0<{W;wJcN z_{zDRKb1vO-)@b%=$!{%GivkWc@Wmu&u*9?7>q}mgnNXCp(<|0LB=wsh;{SoFdpP^ zaL>u4{3e{7P6>!o^_)y?acqqqZRy#=}g-=$b*n}%QF2Sh32nsg_dhc4@l#&X( z`3@f&XCna~GEVlICK#yZV_IDoP%R~>7f?9jsh+Yl6A<=p#!Ow3t4}GxW-8^O7L*xl z`mJ0}s^EaRg=Kd5Q{#vU<#=T_dAOpu?DAoIa#T`W2yeR*t!ySWbvaSOAhrbMW~{bl z(f;ibRzOWv4m?z7E}MEd2xn!n%#-m}h`Yt81VtG92Sas1ak!m3)fyfxzcxc3v~xY(LrFCvCqqB0`ao1!h$EydAveFDBz^ znEd;B+^kKdsUoN8ZK_6JS#tDTuM7L7PiW)O!o0rbZ%k|SzJs7IPD1?f^xW^nD5Iux z{Bi`0Mu)-?HCtchY!EhVBKCCys!2P!5yUkK5Jq(i{9;|=X>3jBv;BF z+u0SNT(`XNpqp)g!O?ec=yI@eQwl(cM_e3ctNd^o`R z3NXSQb&T^$oFAy)?%;u|bKW&LqNF56TJYTpG^>d=)~yHw)wwNMqov+iqt}IGpM-3~+lf^}++y=A?fNShJEWc#&MzwOE1NASzd8BHGeSAdo zxG(wKSos=J1M%${DJZ>0Uc-><>sZ;r<$Ab*BTm-8_Mr|23+f71XBU7K%YcY>a z*`@p(7WlEu@au*!^|aM}O&T2dc2JI>y8rJO`{$IwFDxYAyL^%k=X>;YMjO+P;+hr| zF9JZI*5@qcx$cU&{V?MzK-9?03_J{e2ab4Mn<%<&g>aA(B32%td>JR8EYXR1x50ha zV?KBRB936NgO&n(FaYY+Tu@Z=nvz1piD0f9gNUkGBYRe;VQjfymzkN%>i!?}vC!qs z*<TVv!ch z8O85&yUK`>xo(RS=zxIgXGpW2A-0n}6(O)vQgWo^JDdX%^I~BM2z-~9Ac;qq-(Ssj znqz7)w{Tk-c@cK7q7gz@lCx?;DIyV#kYP2()mkp1nGHNUJ<`^TDsUSyCJj4*^}s4< zJ+-mA)kByhv06fAg$)ti=K+gRfhwhefJTEvrNdYb|3S*jtRm37)&m9jDn#1X73I&i z97=!9oEuAoK}<#fcv47D8kP5cT)uHuRYfsjK>M;Oh zD>^q!gqnCQDxij)UjR=efhkd^`j3*Fvp5bCoDXO!vS`RdCNkU)?-F>qiJKcwfJRLI z0`Ridv;61R>5;`!PkO1GpJrxTp|4lytg^=lNGSUGhaSr;D z@eR&odRjO@-6?ku22ntgHZ}MzeI#M)l;wJb^ef_eMpT}fDj-DN?n!LV) z_g?TGgBmCbZOF&VN@8(gf>I0KC52@l1o_bmM}P*F+*9sw(e1>g77nL|?9N*Yd>T?XuQm z(9vCpMfg2#o>f%|9Ptx@qXVYp!br^`fBKIm6|uG?B}LslIa(VvX4leI_9zCS-Lgl< zZmr<*l$cs`e-CFnot!)&3_?UB#)CEA!$fJG{4*Ybz7W(zt@3CvL$hKdd}Dr-Mx;84 zO4%#7V0Ia^*8!dlf@)3D^OA+v?JgnVrcbFejGa2`_wPme4i<#ZB=vLmXltwWIIFfo z9vtXj0D)oYaGzH;iui%5>ocaS$!dbkilW5F`yMV7f&%6Mp&H3my1ewd z?T^RNd*~+CzB*-4j8?PELJl#ETreK)wf8C;y|cSCO=6HPOGYNJ4x=*eqCKrQbJ|tC z4`Yx(Rxu zj6;QHu+;46R#^={)=PB_Yz&HtjS+{bk`9jIhe`mMj$eMw>ukyyW_4#do-icP_gZk9 zc=b-fI2Iv(B1u<|d#087;rz4kedwgHnk^-=npyBdIa|!+pZGGV9;oG6NqYJa{@v~a zy7v)9cn9}4GZ`3T3~H?8v3;m26eQ2wTz9IJVuR#rp~yp&0k`hP%A;Bu>#XHcs?4{< za8QNnNCIdhTEM0P{%|f(d0o0l>z}S$n394Jtzy08m+*=vH=A;jBqxj@;Nc@wioB!$S z-ql+!zb&?Jy=Tl)=7Is)@rc+>iSWK|f=Eaho$X78jzzw3BSDdyYC<}SYCQ(OQ_?>l zENe55+M@ABh7u2|%8`TUmyUWumfiWFUyy#F*n`g!dRM>f@LR2?SKuFROt?6{O8Rt7 zvjadv+uT#`-=i|rf=KmbKd*rnj~3s`$;h3{<&S&zM(!eY+VonzR2F3=u*_^0*gt$a zr@LbCN)5%-Sbh!}>(W84=A;ybOoG>mg!9u{LJS=2w(FEY_DoU~Qspp{%0fO7=*m0m z`0a3gb#S;CosvQc2&qbsf)NQ5khC_np+<1OA+*YQP0D?Yr2g;~1p&7J%%8d$C9ZJg zxD?m`2mtw}->SK{rEG$ltI)HdtpP9k7C|ubiLIocw(jg0}nt5VB-o9A|kY0|^!D(S=Sn zs?F2-a9WjH372|zxg)-VN%N1d3~Ih-2z8mI%ugmTB0&+_NVWc*B;$Nw7-IhUHGt}T zu)Y$u)o~^{1AE2$EHibN3=j~28p>hR2uf#J-YoRYCOdpNsc&z&*zn9kwx_SeA=xyE zq~)bL)|G_G=%*W(0Lvu>hgj zg+!@|r$!SvI*MnnGj{=L&$}3c#$7vXn)MfbMe8Y=J~l&)VHdw052~UI<(cY(0WknF zG9XkUVJ)G8q`z3=8J~2Tm%}%>5h#$GfxUbU^71AEkOhJi`jEq=pLi@-a~C#yn|tmY zQ~;CvTQxP(v9emtVoE-TVj{tsSrVeuEBHKuynnQs0 z2is+NVPj6pF0DUP+8u+8D;by{Fhn$r8MaK4O>(99y_-r`Ik$Ci>_R?fZA{)riMcj{ zNCJQ+lKfs4m#svAB!B>fXjOjP-HkO6OdMLXa(cQKw$*4+et{Ga+tJDS`!9eAEPEk< z71b9K8t|@`$fZCBkR=F`hyXHRT8LPemTLbNV99>T`U{yYL=wh<@qS|g0IG>AmbSG{ z@Go&ve?Iy#(*M)v2r@uWYC--zqDyY3)Y(}=h$K%utTwloIPJFI%$Y3&1?0jkMq>!K zvcKWId};`YAo^f#v(Ce_VU=&xbca7Xo<3*$dD#T=2(H`*42XRDVbr@;{ce-bUPysS zeYbX!Hd}a}zK<(}u;%>vaMI1}Qr^yJU}e-}smc{FazdCF@3Zk?~S&9r1=H^ zppa+R1j^^LO{VnJB@qHt1A!^C=W!Ihqsxo%{ND+_kYsUx>EKS=KTgv5Z2i3Bp`tTb z+-~+Z&ULadsTp(}woCP+^w9-#1*U2K5JCUZ`2FT*68zhMvS5*}2MfrJIsI(WrRSY= z|7D*@oFYy`PYvQqnK{6qPH$!P=Q+L3NOKY(-fv(hN&*2-(%L5TTlUQYL?UYJQ>r$< z?qIW@1GlcG4kvqiGJ^x47*ZFix0S+fGyxo_pey6ne+@6bqUz`4szb&w(Rv;-d`v_n zs=B(IW1l+bspN)WMc=XAyXy38wJ^)!z*w9lyGod)_qX!%tjSfqMRyW}8TQ1(&8-1h z((n>cHe)?&Fm30)A&BJI=PAYrB!n*+qH306BK6ZE)9r0A#iT7t z3X-S~BYcnbUmi91ME+QrP~1t0Y1X^FOuSGCISC{{RFnV&&LpUM%4TC6?cf~jpkAEd z?3`RR3ym1pP=E;lNM3IEMpSVM&_c=Z;WbM4s7m?}=E~49bJ&-hngV%fWLyIuE&s;_ z2n}KX7eUHTjZy`$d`I6(SQ+R?q|aZajva!$>aREJwkQ12#Dz|hoBI&;Q{fMCipV#G zpK7LI-a;T_b&9|kiTqA{6#N*R6acwFGQvfE@~eUpv7f?=2MXLWkSZm`gE>yYXX}cR z7l;}vLX@ci2_&M1e#_x-9`8guGl3P59M<~6AM!u5y3dtrvud;ztIBWIE`r`RxPvji zsZme@zWyCrud%q@g7LZFf2dE8tPj;;y;O*dwOuEC7hVxcXY55D;D8s6)tjd4V7N|= z=KaL0v#*qDC-KcQX|u3w$wY$wy{D1P9I6uAxi_G<7KKkU8B4a6pDeCYB#e@KF4Ra z+eC<}ILz@dkO`>S6)w1QOfXdqSu*^SKMya*a8g)mS9<_kUf7HZME6gW;rfe^fW2(2 zdcV)4{(7b#9-%eyYe{~)_SG$ePZ0TYgLLzI%9e&+?$Qz`S&7Gaw|IJd&q@DZO;_L4 zTJwQ#xj%MbVk*eVr7c}2C(Pe7+KD~hbbwmn`i2b9SZM|OCQ6zj?A0z9hlSifqxkR5CMe~&?bgV>JO4Ng;lrhZfY&g{ET%(0CjmfH< z3*QVkd?sZqw9*C-XO-kOC!$5WE*>6;qk49h#@$@Dg0|e$g(9k&y)jcuvA#T`kwI4B zs%^FTQtci7m5Yqb1VN|H&b|Dx#cUXUYH{ABXc==bJ1`KIJ8weUT46+RNcQJRT^Pa= zJ^zT8xRGy5t7o-6mb9=ca$=_kG+>Z|!NVxp`p?s&>Y^c4K}Ylz0FdMQz=a`5pUwT7 z<5N(%WnZZJhv`sbCF(zUVg3M+pW7dj{PEVNnu~LD^$`?fNuj&pf|A7xMu#dkRFMAa zr|VhynEOA~229OMPWkJmN;&a{v8MX7i|Mnzt;wpwkj25;`p1_AU>jD~+xz3TLHJgn zrF=J&{_3JYpq^iz&scTsWe(nQ*xKlPxw+cmvG}H&E3_d35xpT)@3KYsOpzvut&d<$9 zEc(-%gf-2mUsQ-QdT`HPn?kXvE#s~f)*&^n3j41aHI$CKm_wIHQLXr1NqLU$JESg- zT2D@BOiQX*c|3I~c*#!(fJlsJk66V6_Ae6{@LBmO_uuOI&f!7WFMDc!)R?__!8K`F zGBhq;B6ox9KT&`QHKK5R;@J-HqaD{{D1FllMfm7*VRgV}9nB*-Su2OIF_ox9c~e$dm#}I05_fs<^Q-Lh zNAr=Jse5r`Z&xZxncT*Cz}=Z|DqSJhIDfUJ%#JWWL$ag=c&QirzK5c2Gcw{&R&Nb{ zP4}JDgOQygB*_^SsXK9#JhoGlXnlWz_aJky*AZvHHw3qJu}rhwa=t{hREadyGNol^RgVtyL9EJ`6t(Gq$Lbwb0j2%g{WI>?dNRrU(M*9_TZ4?^c*)ld`_yR)+$v^|Q<9ub9Sxcn&VOc)GduhbwuhVFud5eLtD99qyNU zu2?Hl6aKnB3v|8B#(Jestz2A4NAdnX@4Q;dPYv!rC@4hNTzbIP$+$RLMfuD5NDmI| z;f3Tf_Sv*qGJSQlK8Q(+zls0@u8duN_%2^%?i#1$EpS*N+wGWqq8HmK4Gr+kYukW1 z+Su6u7>tp4qrF=udDzk5A?j@L0R2={zdohdH33Yj2MR-sH? zbfc-UybHeIA5D~~A&WCq37_gB^@2<67To@}8XkfQm8@`~?w~|Rs?Ky>@sckIk4RE_Sw@hL z^V8TIK36<(=6ER=9&uAf)$G^(WubooCNbt(p)^4BFHDyn3d?Cd6>WS<0X!#$x(g2a zf9@BTW87$5m4)|#HV#;Xk%~i7UdctaGq!H`L=uO#?=0CTCj|Y_pFj8dnsFBxEI_gj zlBv!@rSCfs{bd$edTpiyu z%wSQrzc8p4p;yz106|`>>d{81BY!^aediM{r_nTm0Tta!x<26>-3VYGpAc?CN%=@DYWIQG!p^aWd8E1-_R$^$vV+PZaFsl}TJD7$Ma@?-$RW z6twy26fT=Ue|acXkHxFuNDHjVO8>0{#82eW^GlU%Rnai-wu1pbC$3|#rW@7AaSoSD zCwDRm9i*OBOisog5Ii=0*rVgo7Jk%|*YOl)Ha~NmY^_~dKmtF<P(E-lbd0zRGd1hgTVyH4i1f1hrt>nBe9s z@NU5NcZDb|2yUlhi32n3cmW@649l5*@*ekV(eXB06s<=oxfB!qO$p3{TtAzsu2mlH z`}{pkI-Y##VxQ2r9JPmkY`MV%E5*1Z>W2~#vf7fxkuRo0^UV!pw6o0KHU=k^IV<9F z&gm@+a8}%VXO10-c%X)xdf9nQB({XaouUe-jiKK8+0BH8w5NnR-FZy>JI1=&d44|B z_tH(~MxJ77HZT~FYR&qbKZVVwccSt*&*pAv>8GD38at9r)K3TQjyzr87Lp(Pgqo}B z+)GdMhzkO8ypzM6O5)!RR&5Q(U8-)7zdWMXjihI6reW75{v2CmD{*v=Vw^%|^_ZH& z;naZH)T=qk%FwkvoeNBw&|JF3vvDb=0+hEyBZU*&?;)p2`(k`-4pnTe_@u<_t4h>b z{FN&L4c32Q)R$&t?8@G+laXT{f2-9=C%vB&NuhzKjj7$0lr>=u>Z7IWl`=~{4000g z@wSS8Ku1?Se|(wm{ci}bOabo2H9xt0^~?M&a8!SQhXgvU$xAEs=ku*DmmBS`cjv1O z514yXE|Pln*+;$awm3gb-a}Dg|B2#ROWvNu+TEZSmJ_}*+v!wzyvq_CI;@2(jO70* z@jMrCgR2b8LfJVagRg}+;&>3o7Fu)UpR{8iywgkV71CrZJWu(#GJklon-7cF%Cvh9 z5(fVD*qIqU(xx$sx<6ZYxvpSt{^uzuI&v2@&0HAm8PN1^H_Zq2e1LD%@cK5hQGNb+ zqgEZXm%Oic$IHzb<}07ghgm4>cAs&vKY8~wO*tEROiGOWDXjT#rgqyA{Pl1B=>zoP zaJDa`AdZ+l#0lU`f)>9Vn&Nv0Ah&e5RQOpWZ(X?t6yf{hvH-#jqNLs8xse|k| z6VjEtDmB}OD`g@O(hfGB8p`mMInS=zH}O4Q1jT6ilhW0rkcG-}Uk{xn=L;Bka3Yai z7W-PRk#0NvksrJ2=<8v4EBV|<#h{53ZaL? zL~A-wlXrp>2b92Frdzw_7>|Z}kmCh_9GgE~9Ruax$2!=JP%ZV^HAAOwK{p%AQS=6K za(Z}xuzQDR0^3{GvY~D8nG7yj&l8!?``K9ylddr4I3luDa~_AI(-m$K&_;WItt~ogwp;W#>~4F(H8vyN z7UputhOwKQjJ47#6DlLgVs9qT)5FRm`lM#`Ih*#hal#H<-Aj)tmR3mB)id+nbs^}c zXPM;}BM#4+go|w%s))4)K1Ub+k1a>bY{xOu|^j*S!JYH2Uakfn@A`@ z3P&-7hC$r&oX?F3u;vzf{Bye#tA)vY8|EMHdq)8}x|)d2iejHGmj(Ra5dTN`*nWih zX%jUr5ZT79=F;4L-e+Ss0|#`Hw&N%5)8ns5S^fG68VT>tz*EnpmbA9tTxg2IZMhpTCzR#|L~ z<;^YK)OxA#`EZfiq{oY&%PEWK-JMTGf?rzQY&hH-|AO3I62BA=sz+PRm7J_6Ocrkk zD=4Wc{88*|L>NPq(zy_ng> zPX}6?in0H$uCA7_DnbBVD-+ZG&+JcAFN@}ytIf;b*d^-fTr7-Ch%$T9J(Bce`I@KE zsez!#_Vx}`04TOQF}5P_D3Tl<8r#^|5NVyh1jTuM>o}D&@!nYq5)9HG8`$U;sMZUs zcEV*Z49asnUs_gc)#D_GfcvU_>mFzf&t2ybUz`ldB3kQgqqUgTFV$G>GL`(=8zYHPc-Xs#(D*Q+8J7o&0NHvOt1Vo4w`Mmq5}5S>IXQh_BH>l6i5n@E@mO z!L~I8FqIR_hOqFCQa2IFrmS8VYjcFarv;50XYHPZD5w#eubTJexrJpWmh4<&<}BRm zKJdO=a;9#2r~CJa$`Vwe+h zQ4fac=^3(fI3G{-H4Zou6hVV|@~vBin0h<8#z>4+jnq3+flSSR-W0 z$0JfJmRVr5Jv_Wb0ieaNIKKR!YvzNxPkPUtGCJzo+V*!PLtvOdGYNg zz4}o%?gt1ylwr3ougVt3-Pp2_Tq=&TAUL6}qmD#qd1_;#W%%7uxA5$H8TIDfXHz?S z(0oh$)AL8>@h1JEb&efeFND?&n4bYZCNZ|Dg}$Mk{`OCoGS%Mfku36|rQEgdoW6~Z za^g(F0E7Bp0Nj7*;)tE{0Ng7K+j>Olm+lJ&2_$S0(2LH;U1 z_*b;zy34sW?MOC%OS4A?y2t-G;8$I;ndtwfI^BxV=lw4+aniVh3eQU}W2LWz;f&vW zV}w^5>)}nc-R11!;aq5(e7>RdG}`LFk<7fBgTy{e$L8RpclpsI~n6 z47vVC<^O+Qz8{D9D2NX>Yhzhu)RZkQlIk^9l>`(fCzp^tJ{-qP%0~2C_8l#}%|yU0 zl6I(UdR=JcXUV>oY# z(a)umV_vWIZ@r#&eIF~oS=eqa7o~&N-$t#6$Mt#t8ybLQT=!%h&^R%(jW6f*G%pN_ zM{B(Bl4VI;BG)9&K}sD`tNS)n7zwVz*Wq;Sbv;R-EiEHAuJy}nGHh9U;{7C+C0rNO z-5W>^$px9T5J^%$@8<>oF5WD9ptB!%fM{v>K*w-pxRw@;;Q88IG%_{2x#s>B{c7uQ%T3-;e(q!zyZY!<^1OZ0llSyx~ovpd%j*`O~hn}W4cb4Fy8h&af6+st54@}kH=+hYQ7P$7{{)cSooh6WxTC{x1{+@hK$iyV0 zbz1P1e4QIof-b_K)H0oCzj&st#332}?|ke0y|PP)^DBg&3L@Sr<4~N(RE3by{qma)@j4i?6-ZRu;Biy2Q0j~I6W$TM?TcsVTpOTz z3ub1Hv&!CgJDPj<0}WzdJm9lv!4(|x&j@EcB8tre%DF#MN0H;@K04pv%PQ+9D>QMK z8Ly_~Fr{SaBGZy?XT&4BOb%a7Cg;kiX&f9|$LGCF1(ynJds`Lf`;_SIQZtcn9KX}%jmBt(Ha7V96n-8a(NWR5tu59v?H-?X!vR}IhLxEaa8M6vOGs~( z5OueiJbmLo90%iGmwRRkNDt{9m6xjBoeW{Rvb*G3B5EB9&f3TU*S!<0zE&oiy#!9m z!wX1XI#RJZlJG;9V+24k7^}R_3X8*Gi`IAD&}$OzrqO>1Ls2T&!!m?i`mKFV{Nz@d zGyH;eP>^PD_gNj}P(*@ELRd(?}YkGk9ZFWzR_mz5UtsA@Lf%P9GG27uX%{(|u_$;&*; zfmDL9uBtRh9ccOiyuU-*|KaQ^t938nVvk!dPWF!IV3%ik@Ztf6n@+sBDeY4~P1FOI zQA~iDQ&Vnq9*<_4AUfLe!j6t;182{jkKlbkOj)~hpZAmFM1u<$)5n;e_B1D~B+z2N zJOKBVJmsT6ZH(sC!PkQYG8)_IR(Dvevv)80IFKt`#Z6Lxt}j5*r|g`jJ~_kf`&Az3 z(Eh$2Bt=kvRJnEV6|L;>rjGbRdTufArd_cY61UR&4Z*hDk#S2D9*BV*^&Dd{wtyCt z6x=wuO+mo@G4*#|ZB`&w@u}FncOO$$q_8A3wUkj6Zb9#O$=Tkjv?ZLOhKpJnDy@U- zW>4r}{^l=fW>KaC$(v{Oeb(mu-=&(isa&we271>6G&>n1m1*XwnKAYpskP=2i6n+u zFi}3MMMg&4?TQsAuq@sxW3SSe8atYS0Dg<+1AC(6XACy-bf1>be+6(KDbhZ#1TREu zrxZ?)YZ{!pYffGskAyBK^NN7)>M8ez9unZKP8dbjacg)ePqL-vUo-g9Pk@0v$$rjF zBh_fmY*EB{c|9${}^1YX#*~i)F@6rqRqlNTW&4wMg zN*!V#_xs^`0iB<$HRp6ftn%S%nmHUb-#UNuzPFSv7Z_1?Q4NFo8@tSfQF10deAL*r z?d*xk^HdasNB7$*zst8zMmm_E$1V%(ivJ&LZy6L<7j27f9D)Xi;O-XOA-KDHaCf)h z5+q0n?(XgooZ#+_y9Rf-o$sFW>iv8_-mG0+)m^=-wk(-ztTD$JiR!v*;M}@wFaDCj zcZ(0T821HV*6eWiQQ$kJE!+egphV`!>pP#h!b=Sj$Hbp!A+F>2s=6`xQU!7D# zO#WJIzrnorIr6Z45g-C*H3Xz@JhycbD~^crZton zCnv0n|`qhSL)1hu|(r{t^g8*AQ*X(S$rgnZIJ|OJD@dkp z!(v4_{JB9Z;f>|fr>iC%cF{CxNOAdFXQcKgPq3uHL(s?)gu8V_-n6uev|CXMo5&P& z$94{sgN;y167#wHg*DB15{ZbgJh5s0;QQb?b#D{3+<9A3^|ud+g9ldve7n+UN-*P* zCZ(~TOpE(*rVc4ea|C@N=wfo5mP5b6Z6I`0YvBqQtgMT~h)^SR1*T1NErJC~iwzyX zDBMD1}6Wz@8XJC5P{R+ zvI(A*L^b8H!c!50Bhjw|Rbh^F$WKW2Fc~9!k&PmGEnE)e1Q{{M8Xw7^ugs?mzoe+E zq5o8Jpcmr|SDZS2&npRXxELRBIkjfhk~ld}CQvRI^|4IXZpwItUcHj4%^S3Pk|=A#y#O7gkNY)Wo7~=E2IA$ z;oFSgICVbI+1&Lrxm(Lo1#`BR6Zzfl%|_NZHXazpmYM1obJ{d-a6c3kRSka6MRCX) z`JPs=GbbaHCX&9kYPu9ret*JChEhSy-LLTN56MyB;B9@n=XLABw~(}H5@-w@@9*~2 zg%o``2o(Yq*~n|p1BCy#$kgUXFY2wk^@L9voG=Sz!6(eboJQLiHO7J2To3P-VY{2_ z%kG=)?ATfuv{2WK4vY==Db)n>c%zvXV<CggMvEQ*3mEb#Vl zKV@-^EIAL`*}{0@mHJ#L)t|l1#c^}EG97?mk=ufd%Q_B97{vjjkWwltb(=e#A0c-3gr9QOO0y56lSKsA|`LsIo|iHBJ&pvaAL6oN#Nx*uSvt)mmQb{q@VCUvwD#v z|1TF{O>DXxKf7M$x6J-zg#6o}&fhzuL);P>k=qkEAWC(AtR4b>l5YP863nUr5bxoa zztKd$4`qIS1K#2hYVT2}9UXGA93O+hA;)`A%eW@exy9xCs>KyJ)4<==5XlL));PBM z%w3uauy~I2zDdLX@U z@zOUF7ns3?r^4!OEdDsDNAaIB<<;raU*xqGY-izRbrfQwCF5;3Y`oCv%qt6^8_Uz- zIqz@N8>FulWbcs@&i#BBuggfuS10Z0!Aku!y{4 zxTbcWRF=E*PSV-WQiIp~h_Pc&+C+`3uiCZ*<`bjC4Y%+KCqDUd3Lq(Ym8l9!bt`-j zinJ$m=zeXTfuE^2wDb*p(wRpqCzVJyOS8TYvNh;wRxXa@B47Fgc2PK28+*R?j94bh zqdG7J0*wqAV3SZcBmKdnn>W2Dd?zuK{`yRX1F#UT8OzwTjuw-7^pgUX`GZM$`6F|# zz&uazm~^0z7r#=x(zG!k3}=T>vYBk|*t6znuSf_OIR6MNrF}7x1bU2Eex9Xzy18`g zz8PHH-J)%v*e!zMMs#3PsMDbW#U!7dGTFBcsqE|q%fHSg^A}Z_P-{l6KqnJ5%^)b& zhfKHjVy1r_!W9Ub=rGQg5b28ws*}xSCU_z+_J;m5>8(YR-{mY(Y|bCZVOiIZhE-kX z!+Fz~f)*lJ8XbEH6EVJZpFJO$oqEJ~pV9xfTr%G|x&<0g^JVIEWL)Sdnr)36!D3$= zLwA#@^_r@R5z*25E_#u(MV&y&(V)S|ip|k(>-0`bsFo$<&$vn-v3xR|he-T+)W+~0 zUV4Py8*i2$j_B)Mi#J~i?$l)2^1*+OBwC|#_;xTsL0plV0ZKkdjD&vbZct`krNnca zi^{$-Md=m?aJqxTQnHV>9eGDAf0t6-IJ_VQafGddn&4KiJnxLgqlKzG1adj!Qr_=Q*SH31dLc{EP zxp}p87o{Rs)KFo(xs&&!{4UOpe1sZv4jEO9+JM{R&0lT*_4-ZdX4(>4l8aD7;%3UmW#Ba@5t^uqj5F8Uxxbr! z9Q`N$QS;S=!q6f6ZfF2Xo42Q!n^MT1i6y3>R@K?ZJ^&ere{yox3QMyuZzVzkaJ%Q! zqRT#TyK@l%IRzVA9@%v9G*wwd+2{4gTV`8!wD)@Ji}e;xR3PTJOR z$R;xQ>-EAllTab1w(au(=ay5(z4R6isu^!^tdcY*w4KvNZO3ZiQIQ8C%x@C2UvhvF zwe%)J-RC{S>WlsEt^>!wC>dxuW6RQ(8VU+_`@d^w0@?J>+1Tk?sT3K9l=!pB7$4V* z+4%j|luzYi1H!j)s!wsaEZFdb4__&&ULKevI3!od&*UsS_?(d6E2L)9J%6JTe^2$M zG2ljEp>$LA1lvc)8rFM@3rk;cbK?o5f6I!=oL0Vk`4gc`Jy4867L=20X5p&+b||Al ziRqtJ`+Ss%2@SwO=Dh}?hn7DJ7TEgyVw#un@U>&akNJ6hwev7*1)w><{7KKC)3=+} zFrw=Mxe4`m95(VOaKB+pt1412zGRaCOKari4nq)tFABUggjcfmI4{nBDXGq|>mcj~ ziqj%$rNDf~rkqR7_C|;2{l4~o@eH_kamBkncdLip^npZ+$v3Ey?2yuZ840#z^u z8H5wv-)4D|m+qHXP6OqlY3|tzem1c#>qA6$hWqEXCUXlX?&#&KQ>~HB0oF)1=a&bB zYNH<2hVP&GUKOo-(0kK5cPW0Q!P$dw*X0H1EQx4{NdZ!|=HjO-kuSUjoew@$mx;4B z2Ly5@<I@fZq z8!MjejST$$0uLW#Ns#hr&ko5pdi=8(6re2aWmRfr1!>x(nN(J`?}rH^VSuTr?u1aQ zm2gqBfB`~2b2Br;We-6kRK7^vh-JTBP*eIDG#yP9tEV=LofimDIO=cV#q|r!klcZG>8&>Xp!fl%lAj*L;@&FGZ zLL>6HH9S^}*HI5-N(HW%e{twWz^cMwPHm;Te_VST>bT`>mwvegBmAQ&s9GxqZ)=gm z*VWOuBbA&A&SS`G$Gk`9&*uD?wGL6wZNFATXLJKOV)5s(%t#lPHmYC&bZeh|zB|V$ z7PX%g2w=!iy?(a=aPHPl1a#)hy2%Z&KS+^`=`rA-o?sW2PWkI+lmIajzPg?xzPyq)WiiTMJOCaaJ|S9Mk+?Y?9rs6Lz@fZb%-(cs#4gneG?@50 zY9Tp4p}hmoZA&tb3ykZdMgHR4zZ#wZ1y% zJMFYR%V6m+hp%66sR}4noBmi z29sS@-O3DjZ+|Vnu*&N+xqSC9^!Y463yZ8AfkMJa|AO7{b*o`TrPSbz8AfvN(e=|2 z;&qU&_xiikeQJ2q1Mdb%z{~3Iq!#FLV<8C8P*C@$4E$b&!4D5+j{-m@m&{CjPMgw8 z-sRAh3TL34h<|5<3Q9O4TLLe7jkjF&JUBh97QKp&h5$CLSmS2Ye!>LyoSlJD{aE7> zQ78Wo0Frj|ih8~6L}uA#B9*ebt&g~N&S$%Jc|wNUZ51(FQ3J~C`1pBOSj*{!R`6_r zXUU$&*8Y3&US|i*&6hMTFR_kp1eg~ps9t$4K?8ml_xm?${zW6BkcI`Mrlmf7TUwXp z&ssXue0p{jV(Zz}KMl{pDa90Jz_yz)webEdD$j<*o0&I}6_7=X9ZwEVx4&lr#8X*9 z$|zB;(}v>9OWCo~CP+XTMK(U?qC-ft;35T9!_|x+K17g?0)dwS^J6~6vZH7nW8R8l z{t)33#p<=(ll>T8dPE|_mNK0J*A2H|zniT-DWhxp@-hgLbZS64EC}m#8AHQGT|xO9 zY_j~tir0(DO0IER za`xlAKfz~sUW4cPvaiHp0bFvDd3(V#hRY-%)oL&z4q295n3YoKn~&gb800)tXFqou zc1a4!XIO^nJb{Pt7^t&|codbKh-O_r3BTGlkr@L#`Prb$xmCv4))Xm zm-}DctVLLdm)xM>E2R%}N_#e4gofriSA6e4XBKcN=L5I5x~+#3Z;T!xN2uF$`>mG8 zX#l*1StF+=x$L>=JV8OBW9D~x@fhw>+-5RT(icpCrql*v+S+26^77l5ZuiE)+qCS* zTGR>Zx&vglIU8ih+)(OREb;PyW#PDV)aYnoH9F+j zf@-n-M%78VD)9peT^QGPWR&rX{fZsIX2+5p#wVd6@2W5mA(jHu#))b>>+?z>Z-G^3 zo*|Omeo6{?TH!Z#u>dE3?Y_2vF;MM4y4vZaz4EEKNyQ&}-gNLqDsQ0%T8 z_yuhHxWRO}BHTY(01^rh3Ec|*wRRhXvJ!0|&|Z7XB`CYwcJ~m4lJ!83-_HgkY|QX( zpcCy7IvDo%D=SVD_h;bS0I_S;^r(iwz3|sLCJm)W7Ku*(d z%Q)qZ7rG3Q9)YI7p@1!?>F+|7bxA@dF1Yv@(cq^Pos@_h?=z~JKZSc==kQc0 zL?X{B1?`+{c+!^Yz*Qb)NunRd!l+%3MC`p;=L5`Byh~lZRLvlP{Z5)>wI64vg*u7+ zMj2UULD2IR@@qDKM`;S?EGfV4_T}}mjnsbiQ6BOAG(VCzf)m#bx=N(RgDhGZ*y?53 z*d1`M>xjxn6>}nfTzagu(zddfCEw4I^|)BH3J(urIWTY*{aBpdsck3yYF|Y6CsmuY z5Uj(FI+zkv;h?#NY)#lfSZNmV9LWJ8)7IqM$$FY)ChkZ-DUJgP_%cmce1xqW7jI2G zO~RrqnK`YlV8Ti9d8Hh!j5!ZG(owe(ZzfBJ-$FnOdQujK%~U8Rg)qE9N`zXqmd$~k zd|0fZKRFNlYF{KnVz6CajR}UntBxAZ>6yOT^kLu)i#zVZD-$91uA5R_DBWTK!XY8iK z7n!0%GRBfcu<^QO1Y8p3X_qdu+wfZBqJ60SuPsD*mRljmd@ZUpf?+oQzrX1`O>gikM$?VP`)(_MV?MZcH7QluxHs>UFT zxPcuLfMr^WcQzYppOWYF!-fLX&XKzWTt-6dwq)!bJ`@fqoToo-A9uy{da3jMghM$4 znUAa!w4GULk1_=dAmu4my-v*m?7z^3^KIN=N;0=uj4xrszv{Yo(;Rz&i!Kp1rgBx8 zZz07K6-;atZhY#6*iIIrD-%~!t?r>uAM`F?monP`)M=LLz`KAR23tsm<->q(2mq*=#<=4fcnVkQn(zth67{U3}jk8{E z&uT*)aP8m~sqoC7-J1GOii`cqr<_lU8b69o@QxrTBcCJ#1&YB*$$Gx>WDi zGtYLvPM(K`6Tf%Xmxz<28Rnd0zwc^T?&?0!GVWY^^<}huVs+u9aHAg->ZaPdh*WL4BG>Q_fWs`Qy4xGw>vqrqbu_m8)^=o%v#! zikc48?pK7De?=c>k$y(1;%M5{Aq8T_ioTL3kNTlsZu?QCBwZ|6)jL%;w{jje4*h#=Y_&zKu3`da%9BBI`6+ICPpVPB!mYZZcXH zeLvyg(Y>!?EjnP#;+507TCajx<<-~YG<*L0^?H&D;`iM8dPd?WxO<|NZ-2aeAE)+z zs2*3brx@BF&U$Y0f?xX6Rp$t^2FHjeo(YYmjyk-F5p<&gc|@98ueQR47u^F?}g=%~|iW?6`)bCKB6^CY{ZF zC+OEbXnY5cCdX~+3f?pRex=WNcB@a=tm}0cl3!J7ulGyg+#%TeqOgu3VE*O4CjKg~;1om|>*B@s{!J#l4Rgcg#7ccrwwQIO03z z{$G1>^I<;AyJK5A*}RI=yuM-s1>Oe*HK+Bma2=qZED6eeU*B*oUb=!WG ztSZI@f7j}Zx_W46cbkMmV`n0-;S#Nh(}Qi+VWWs6w=Z_{d9~sG8Fetsyo)y;>ZuvI z}87vD13Rrz=KShE$p_7 zGti9JwcnB)nFk_!azFn(cxdX#bZ&mh9Ii&mO`Vb?sPC1h+nbiDcz33U(Ra1Szur9A zq7&5azBk6$>tKhOji|)PiBg6isQdgH;$!7T?7vm_XI(&2LBse1TLOlCrjWC;*1ViN z`UAULcj&0Ks3JQ)}p(rpN;U_SJ=_odpZ2$Rh^($&i&Zx$76u5^bYa5ee}TL z-no|ea48aD?cu;>UScac*~>#hH{ZzNa>B{nki7Fv?3 z+GgJb`c$(Af&u)nouGpYBJEGW6FIX4`H?HHzvMr)*LNi81PbZmE%D1Gu8v>lJ8t`? zi6?Ni8}R=0VBLhsoj)wor_0vU#vm-8J-M{ug67nm z`u(JZQ!I2tdttjb>CQb}N>_X{8jo2mM=1}t_^?T_yn(*n|CYne>}H0_2q&9U|DNV^ z92-&N-`!LfIQMbvLM;z`|J}96Sw4-UNGv`geK3m^o>GW!sRa3TARS5p22O!^dtqiB z6gI){ygiqNjL7lHEaq36 z$INynXHm$!8{vWMZiQmFJXLTJVC3~Vox|lGh2O`08b>JVcizz9csptqx4-F8(%Z%c;hiEbY$30>`qBoov+r14 zI~r5@%373MHPAjxHv~6uw?(PIS_tcp(eE;!vf=UFm}iaIHKM|?B_(fty2J@_=4(*q zM$STx*VL4;#4qBfxJoCRJqQaTq18(U5A{E_6Gg;t)=GCCv8yEMEc;4+oAS6DA zOx9K3d+Ra<%uj#qsMM<6QCzBM&=u^$=!9$dSY36}N+H-@P?pzFQqq#9kLTCZ{iuJ@ z@z9W_udk-2rK7B#^y;pliDeu%Gi4D8Q%ET;K0rx1K$$#Waf6c!vazx2!iq*KW1y#{ z11pM^&`l^TZ7=5f_nn>ho2hH6W8#1VOTDhuDZD81szOhIDki(;-inNC=Qbt4`AzHt z6gkF~wz?VEF*Ld2c(=r5yqeeQdXEmJy#RbY4IOP{4zp6amundJ7+Mu0d3kwtBgcw= zrn|ON2g&o-1F+qm-nL4;&vb6%q@McC)suhz#Kgk#Q;^r2+aBJ%7;xPY=qZjH*V65o^rjnUeKQJ2P7_!C%mnl>bzyBk@ ztyT|_h0w{!%E*Fal#X7Ac!Oo{IsJ^)!G}Y1tK2MotP}G&b-ap>kro`KCQi=iL=Q`{ z{sF~Wh{MbsXHQXf+#bAu)ZO|nT6l$rowb2F@+jQ!mh-O)HZWB7hv8u8sO&7n3*BIq zAd9R#5R4(76=|)1YK3^G1f&+}anb>q{ zL+|u0{zFukE+D{w)#=8Zmm)45v-I-~ZGX3UUcUP7^Xc5FXwqXvqgL%Ebw*i%YD~GI zG=s?AMX?7Au;g@v5V{m@e#F7Fpp`-?!a(8aYz3A=xHEXD4KlpC%VSX-bh~Y{o0Yu% z2?b20)m;hmh*noIl>S*mdqPUKV0487a0UGa>ThJ!ehOAIEfsn4vq4=VqEu&VxCr>H z5B5=OpxR75XZSFCrgn=1HnWwUAu<|qbx?t{=@VBU+ZyM0(i;I`o2eiI6q#s2Sip$o zMp**y_9#-^&iU7HhZjV^HVj-Ckn?>QPzLF55u?%Ru}R?o`==cY!iUHBKFa5< zE$9Yb3q4qgxIoSho6EUjmbuWbUI5-K^8G`0La~-#`);@0X^+oG(r<~KZIFRhlYbOd zWR=}kD!Lk%35yAM{M|Q-_TG#qmBkn81iKvz#xKKRYMS(YG=643^=;gO%pGVY56`2D z`cN(UHZB|W!8%+dfV7y18uTOc#Zd_YfT5XPAxv|yTuUqfeQJX=##DR8y#7%@h__uO zlgNw~5(vCG9H)Zd!s;&)m63;M7xK(1g$0C(U0dDAX3i@}##FV=A0Sg1^$lDK&a%%5 z5-AOJmCa8%7AfXrDh)Q$_%@b_1d3XCR&Jfjw1ClZwu}p0=bfP}>i_13ZWw~!y)^-< z2c7py(?egW$*xT%AON}b%&Z?ZwCpHmLJpX}c|kSKngJ+z=VzIkKKwVY7VZmupE=-c z6b+*JJGDDn-selEc1Eq=0``?j+qrWs>=wrKC5=Cm?s+QR8lBuK(40I>}xapF=Xl^@fLr14cC0(QJi>U zRZ~`6aSKdua)`#Y%jn}Jm;0i*wK!EW4z&b)K%bSpSOhO;{hM`)(AovYZ)?j_>5!>` z?qV*fET^-NWy5daOHnhMs_U8hs$r@1@W+pS;CcmB3;%kzh$?WX!7bPLe)3#*y~Y2; z_?jss4AkoFZJq5ssy1}Wf6GqwB>tJ#Tqoy*26C4H(w0_Lg7 zr8*U0%c~Jq-Ska)jmea6V)X$aXRVJwW<7->8NudfWqFn8%+Fr8AQevDx#C|}E9Tn7 z_DVL&3tppq=;)0XGe@7Q_ zjGU);sLk>MvQe}owTP%CI$18>vy*2`LlRn2mv=xb=a#6N$dA}oN7#jVU1B{+hPDog z2YTQByPDQlyLEA~1p<7YvZP{x7FFHPoR7w|Qm&x2{wg8#U>v^HQFR=uu8))-g(LiX zLhL-V3{B1$);3DMyo)3#)p@N4?`J&=)vdit$%&$jbWKZgJ^QR!otc&8Ncl@~lgqSb zzAB64Cx6uh0)KmLQFRUyv{E2Jx~I9g_O)a^UNYOD*zq2J@jo?I9*-8m1HjNY*uv`z zp-pM;?o@MQs&eV%l51$J>~xfXC9_CXf?OBW}XW)AVm%+OOoaD)iuNT_k`nh#AH{4FXMF??VDr5ddr! z$UrTGn@-_79*^7>k|R}{syV_GG)Th%HA)%@6wvAyd8d9F1}F$b)(}64mr1CLODe%T zM>ho{^v2@0SG~0c=jQ^x=2hczNEaLZ3;j!|n?jAqNyQT4u&+6HXwnIQ>x$xv92{vp z=X@^nS%tB&RW7Hk84AdCyp5d03v&P}zV4^ud8LJsy$QF8rYZf|?Z%z6~ zpK(hrRTo4T)xM)urnEN2jSG5Pk9TfG4Asy7vK86UR*UV_2)CX9KeNxUNsqhhSFIQf z$ns<35$k`3w>M@qxje_o;D%VlM7zkK zh_idV26K7f2Q}pnVWl+KYpREvOMEWZ8Zj^xIFR;(2lRIv;;j4*qm9>y&S(mu^&>WQ zc4H~k4>@gh_-#+Ic)L92$C$$v*nhuGsFm3Wd_FfnjN$vJ7)4k9)ggPus~)KfU<3{B=9WSQzY(7sP28ZB7?iY8B3ojWVwyDsLi=dhaqflcqu_;fLG* zeuc+wS#bX=vR2#|2s1r%C?6dS%ZR1JZQUJSejY+zzPyHgn%9_5CWS{yM`RhCw1~gh zy##*m0JQ4$_FbBhTmF9rzvpg|kv@)YKNVHQpZ%x25G>7J^uM?< zvq>yJtW2F;=vxSw{xsZX!v6xb8O>aNvhJmzGqS}L&na4#qUB79Dy))#@DZ_zX!h0B z9oLevU^)=pP!_AxLG4BmthB5^GvQxeX?C)FL%|RR4e@&vJlk=Kf9ppzbTjU@xcGor z_d6i!?wcL^02qGp!(GymIId-PhD?LWMCN+pP;kl1;?p z1zCCb`^Momu8L1O^U~+upqcy+OeC9! z*7*rn&Z*$V5V(Qgxevlm7z2-x0lDC^A;n&|FwCeH{Rk zr7eN^IKe137kUWOUmQLV^6ch;bBA`8H=LLI+lehvhOwf6=DCl zf1hhgMr;L9r39#r!VfC$Xh$Jv=F2+oU*3;LP{=Vduv4{q32r!cJ&rl^27PR)#0$}u3) zS2&f!a&rp)i;{u@nhi03)tPdcCE*dRmEV1RJ5*!vaEGR2&Z|!ZOAikr~&kzM? z0v!WoR0kTDlH5=mia-JZl?5=Dnvw0HGYkH+|)VY(#+8meVo%dL%(yV9(+bKH2;tCx%0^o%Vvc zntEpv-+S!YeQN_>R5+4Q1<2dvf)I}MONf{}E|3L6815+hSttrm+ZWTFoCWVnkQuFt z#((d}nePn@FRwE#a>f1p*mRtLDE!_#q^&a}D@ba#19Cl&0CKW)UL0g=VJJnh(s*4(W*IB@!9!N#M zb4qGqg$%6aqk1u@Ovu^x@c%D}$LPv4{C<`0fP=yFM56gS{xb`qYWmb4dHt@J9qL-L z%s9KOcnl&(5;lkZ*irp{Ha2u_w!unq*2)E5cRE0Nk%)cy2PCcZlr)}tErr@MapNTu zT~ziud^1L5`#ZF-%~_m*x6^V!Q)1!e@E@1z&dPHx@0HA`87|c7s$ZXbYO18Pe7@$t z*Lo~EDN{KBzq3Jwx-!C|YDr4?tM$*P6ZP%46`vIpYx$IF+pjT2;*&7Ztd7pt0>>X; zYik%HMm|sJ*p{>qY3CM z_)C{Xm*fSf&r0kuEXHX14cT+oeH9&-MZ+;b3d7Fp!_9pzxC0QnE+7CBem)pAUhuvn zxZWfIN6p_E0VYrrVp$GbeWPNZqG8Lsa+ycG6uc9t@Pr-oy(~;-TnE?94xjdY`#N39 z87v|}p!w(S0c5|zg`eQipPnuZIG;5%h@0uFLXq&8eB$@BqI&WEAZ*dDLydaHo$On? zeL8!NVY{1g$IuRiT>QDFS$S~vAo^a&9D$x)@=&A_fzt^;4OZp%sKSG&mddW|;x{1_ z(1t)j;83A_05>fA^guj?Yixik{P27k?{ZFJD_3oshaskVR=~!cz@$;eN8m79y5?RU zo3GtDL7lsnyGv1PYU#*^SY^fBd47a;e$T`13(VkXS<&Fi`q-;3tbQa(L#vTUo4rDJ=#(}{vT*t^WPN`uIXW<&NBpmGh> zHfGNY*!^~2D&h@CG5M#$aZh>rhT#XZsZR3 zC6T}o4z{iw`dX$~?)-uNV^EarrvMCW!vH$+P?27t)f)m{x4b1*>tof#5d;B7u?P6P zolzr|w5F=3#U~7=X)&dvj={RN%is6f86&5GTfFliEN{jvJ(s%HDcCp`d+&al2SI&; z$#r-|CW??Xha0)&of3W(ozC^L#WH?~NZ+>7Y3YaIB5b7s!0sEZ?_!mcaWo|g1zJ6wJZ^VM7>EzUbg&&LK(P%!kIUcF) z@-bw;ExSC>eU2*_4W5c!%sc%WakTZ-q_4@&hqduUGV4g(;rieag_v97x=7fMT`|<{ zR?$xVM8r0{Ddlt9VElx~7hZjY5buW zz#U_*g+0DOxpSuv!5@SZCXMS*1P0v3{SS%pGdDC2-BTY#o%5|1P+pxzLeb8Iw8+O<{~hgVIays=Zq54}no3$K?vo(*=~rJ$(hyx&XOa1} zu`bP?5XZbPP%pvj2UCwBH6W&n5Ys2<lgupL34cG3TkjKLXYONMZ5!QwR`6Ret%Y%73KzSaoy>v!^@sZ-p8wmX4#i!CFaGVO3{#qU}0~bobBARl18IBcUvFp9_}6O+m`v#8ddz2cO_daC1KR zQUU;oQ$uQGzd)_SAOw?=eiI}@>@)P4z({i3w(=XZSeHBEE^Ob8|3u7z#YW zWlTatLSAlh4WswRo&3~%KTQQ3RKs_EYAjs-AU+RHU zHG8BdfML#R7Z&{O1Z#+qxjcPcyZIuj*#90cu}lH~JFbaU)7uo3wmfJCH-!hv?q_CM z+KcyLOULA|*87+3QfC=w;eajQobrmnvS{sIOM4j;ThX$Hy0A0?PtX$Al$`x=-pWZZ zKk6~9`-=Y^rJ{nme3s8^b~4n#X?3DIZ3^|D=o^^zlvqIphf@;&XE(Fwb%f#RDJ7&1 zpPJwz^;=G(GY5r3jECdxf|z;K_6MSOn+rng_8$6jq1P&0kk@_@29`5^Qo`YQ{d3%^ zr?Rb{q0g6e5RL$5qpLIIMQoi_=gymtGQ+A_anpVd+yx>B$8KjeAHL0MRQKXg%KJjV z{vfIsq=23Jee`Oj+iBG^#~srTv~m`n%USBGps=4|-sRRKAMyx?%+%E8+1c51_?bDG zQpu`|s@7$+rJH*C>JtSAiYUbQFsHjgO$eBS0}=+S-L^;5DP=kL})^kmj z{^^`{D-Blp)zz~)szne80&A=j-ZLv&VL?r4-|u5G&)QI}(|{<4`fO&{tf(_Fhe1 zUR?nnU{^s;6fE4Ov#~6X4ze!0lJ}p0{;xS%e{_Vw7G?Y$L&8aVT32`FQH{ds!4y;H zJb6au%@Zu?iAPMiM2P=7rZe$@VXhY@DSj+)bUYL02{r=|`>#F1`%SM}Xwqb3(z5I5 zG*GN|H1lVZ1siq&AF>U9Brd6~a*>DlBiPu^%b*Yn-JFH}-;?IQMyk?g?!0_KX#>kn z-JG!U9m+?|{M7burxY#OE5EN00ylN|TyZd+s z!*=It;Q##V6aSw-UR@nAJpP@~s5S_C!{w7BW#&(uUP}dQaXsNJBN?(Uby4t^g|K^2 zbz{zkMi0?k%FZ}ne#e^IwtDw!pRN3R_fC-fvnF3IJNaw^#0svpu9&5$GaZI z!s9PHe<}-w`w7f=ZNE{gowXppH?a1-%RGu9**Oc}r5OA0-`q2YUd+b3=;iEE{0*%C zabW5{m5y1+SZ{mIAJX<+FyLTgbE>9!(m|o&mA`_^qOYs@8!?%m!Nke!PGaJ)glLNg z3WN1IJHGYpkMgY_WXiR*#*%Lt>kr0f#g%u_-<@^-4tV{Lrgs+@|!!W{B9{EEB%PU?~*lpeLmsI^?%J)(eWh#?t*zAzvI=MjIh72Wku7ZDT zS7GtHRR&F4{tz~8Rj}TEui0}x@n4W3FgqFgBW|+j=7fP+{By&nTaqMCy=8rj ztnGd>ZA}!rx)a;=74d;R0ZzeJadqzMp zzC_Gd>LQ=;4qge=a{GvCO}Qi0p)xIe+K|^$GjN{06R_&G|H)$M%Qe}qU7U3tMN$ViOu0-1=_lwLHsmB97Q|TW5vAUtQRo zTo3lB7&sly`GLU;XLmhb9rk&rEju#OP#>Hn&E)a$vFy*y&7>86=aPr10Wr2k44N1rJ(xvBFO4_! zoe#b8cb&DFxoYYa=erwN+0Ya7SyBoQd4?SpP|9!_+~+*3J?CrzcLu-FqJNRw_)2+x*1N zyn~%$;i`I@`n2PIbd1&Q`T&-_2oA{Zu-uYE>?Cf?MIrSiYrk8{EVvnS%(k#8zQ-01 zn-tL2!d!&foQlzuKEnGbs5r%u3zmvH`bcU@y@oQfd;p#${0u-ct&AtpImhR7S|HIePGE9%20cKf| zMgM)&@hu#RKhPSI7*+zCltMW2sMFWmk>B%tvtxnZ9c`6C>oOjX^2So;55})sovB0? z^s->bwDw~WCu^^~l9SL6VZv3xxiusk%3tCMHIxazT0A+?i8xK6O(*Z|uPMz|53jT& z)9KrIW!+SevV904I(eS&fXmS=8 z$I`V6HxN8numAx~cXyXTzR7-`{eI{Ce6LeK z=xMs9r@Oj()slPN>rHwuGa@Lvb#LN$SAo&Dw&}6PcQo{PnkJxU`0`ofYK?BABWkOV1sLXwHF@~LrZ#8;Ku;J`zN-0bmIHt zGHCz<3BG805XUK#f~0lKeG%aj(aDr97aN}e+a+J6NbEhPU$QYGsym}T0nkY<9G?vU zMM^a9*Uo_>T)wxLjrv7bZeoCNJpRqJ;F)rBAKS{l)%9l%45SH~iTCgGs!zZ!wkKh` za;m?~0;RvIigs6bzr=wUon)cDle`KGzvXAtM8wf{7IkxV+}1jFjg+ z)x)~i^zL))9elw_%k6CKNJGO->r!RF92DVZesVy9XN#Lh8;{GHFT9^uK^bnaRCi*n z{O#KtRA8v^>DbX|H^Bt)*`582@6^C}KycJmma=V;(_%KNd4pN@2Qova5hjoline() zlQ(c%x)_{qZU`6Z_jOR*mU}c2FPsE4sm}b;=XLHI?gf)cM@b1^B^}I3LvQvKD5_Rl zQ%3@Ti&wAm%(j7Y3hsI;76?35EUk(#w;3ROSfk!x*b6pG=qwEU=?B25=x{JZ4I^MwA-+l(~XpwCn5=r?%|P-6F><0 zNiBFbkI^J;;Bd9w-D&9pF+ZTbXH>oJ&^A5eQ|?OQ^ED)5afA$!v@m?OaPG3dZzZ%uzT)>uxZ`a^woYF_naf(T zN7wTt2bJY%s)Xa1)%tB8>oI^U3*z3hB?3UVL$a5)tqYK~x{3izkyx{veJ+HSgOfSk zuV&@1yT&TBWEVw}pA6}fJ|z7)eb%1rY{|@473T-8)xhwJmT1TMcY=-i_BfBUVe`F6 zd3tBP#9R)i%aSV>31ibs!JL)2)fEVr6%zE^!fYr3BxNL=*J-<&K{w6Kx$14VeOUBF z8N=hTo)2!A3`Fw-{Uso(*l1?r&4l#$J~*N-CgA1i)y(f*gUg4ym5Y`Imf4p_ofiy> z`EG%Ia=vu(Njp$c6exr7tIWQeV+lQPt*@gv-Ko`GOayxkZMthIo(rQ-EO3xMC8u68 zz^aQAPlL-M-T8)|H4HQ>+tgeI?nlz*p=p0c2j;A@&6FLyND@bjw`%C`^w5#MdAY)8 zcEJNH%k*Cml

Z^lzcutN*#@C(PUia0{4c^7?DyYJ*oXG8q7D^^zn}^Lz(P86&R6 zwj-Crvp@7aEfO<}Yl@y0=eA93tQ~H(9cYL3qDRKOC#_k*BRsd~7pu|yc%(;kw^8LU zam<*HME_9!3jZ#Jxm`DsS@6qSyGHx^`{zV?XGRLFx-t@UBZl1VOBmti7xWS(V~3q2 z8c+?_9MgNlE@)`Uq^5A$)8jBBCo8!Lqsrr~0v4K!yeCur)bhH_M;#S@yCAI+oyxp7 z3IL`}>-TMfmgy@zuq|EK`+jpNix(D1c>Aa7bMHH^WqoBx_8DAwZfd!yUAns)GUjCa zQ(xrxOTbcKXVjGUr?2PD;qZ*$Ep>P)V;2Rn z!DYTL?E0>4=E{>Nc3dAJRn*u0V&4eXSHPeU`ZlY(1G55($)@HKMF2~A!FiUkD2aUv z6T?w6me{u|YU&camLfNz)|aG9!|AfZleSUnQgRatg*}BYF#X85D`1%y%4dhB0L(;N z6BwZ5>TH@Vj2guaJfY{lW=9*f6*Rpp=zFQ2hypYE1UB*Rx;={;qIe7^(1H35N(Hqf zLKYQ9$C-2l5&Dy5;fsgE!-g3x&*b{Y<-9G3O@eIO5PbrWE@d znE|SPM~pdARl0gmz7gFEba%hMhySPbQ1(<{lz}2&o&`DcE3>jnf5;-yGSbn|(RsL- zDEJ4@UWE2h2Gm(7oW8uj9kpG1<7(N_68;DtDlL8EA?`5HGJafQjIFs!mgi_JznQ%q zz`w{_(`@N5Nggz8zGH3yZM$2zRodJ5ARQvGG~N4v^yK8^^nY4vBI$w4VipYYwSgBy z*l&8~q2Ymnq5gg!&qF0!bl~h+(JU_Sy>^&)b5#H=5qtn1V*>S_M#!X zJ-!FT&nDIcWM)paHq+<^Zb=GAEnu4V2LVPJhJl|bNj4~eHH)4oQp0=Z20AURq|^i` zONTr9lu}HZD3lx`jlCw~p!CT)f!MvcP1|^|Vk_P>)G)JujygqILD2wQb-Yr1kuH}U z=m6FG1h_VqRhNYd2Kv+!mQr*zAP$pD$E~5OrDONrn6#0!bM4o%EvYP@R&nC!)VF@l zIyg045AeOToTTkf$fzm&FkI=G%+n~0!;d1Z*I*if|JOp@lMK6ba#se8BBIoJ$kKpt z7&%#5c{51y-o%wrF|VQC1&kH36Gjjcr!KFp%L?dcvQ6NAc;l49fBDcY==0e3G%+%m z_B@M^Mk{@$dk&3#FmN!f<)ccMS*6`?Z4VX|Z5Qpufs1BTdj<=n4!n(#ZQ5MuDCC zyqFNh#brmXXoT3>*7h=SI4b#ZoWp;Be^zqwkVtU%x?Pbq{C!oUhON2W-KGVzn#g;Z zw=`-#4ic^efLgPl&$+b3BU&NS+|^VJ!qV%1MBu~y8p?m?r^e@OJfgG6NWJ^ zsp_A6f4hufh-WL&#MyIwg63UU23RZ8IYjl(@%Q^e=_XUDucjMhv2)tSv+>4`f3k(ppTrob8d znuSwAI$b`A{g3@?(S`9ClNa}rUDHH|Lc6usVv~u^shZiKnYu%lp#9@-mgk_3g_gdJ z0?pKUCyM_6cowE(YADT)P-9d0(EO&|^kkCdsA7AJt3sV7SNNN&ot<|d2Ubpr9uKlS^@XeH{Dg&})JH;b{r(7Odi7pffZayO@6v zZZmZ{mM>Xlb-zt{zkX_9pSkkFXNO95CCe(XCXS+-;A#amk=a}11;0MXD4D8AYiny5 zcVEZy$#-{2_}4!>9o?|qmUcRtdu%;q567FsJ@r!`Ekf`)-3Za@ATz0~O@WHAc zhilb;Fc#hRPhL|U?n~r*zJ^QYoofiL(|qr&NOk7-y9K(InZI;O?+&{4_}ss>zR2nzqiH|pEOf;eTnN0B ze_pt{N8*(foC|z|m>Hn4vD#gqIexBO^@(PAYfnE{zd_EfaK8PlkaLFw3py>QL>c(i zmAaj+VcIWjJ9>bj$fJOM8S^z00$BX*D`k|SYt zziMsu-K`&N(GrykpDjxPQ)SSYz9AtOBC83BD}3RoCSbD-arx2-u5vSQDjZh|&P1%y zrewA=Y;wPxDbsC$QufzdKV<#E;QKmE#!Ggxn12}YV1`LT-n+QHKfHLm=|mrrb+i*X zAJI+|CV~l|@wz!;IIc7qf2Dlc(gkXq-oB>qvGRqWa z|FI2ZOH>$VmrdZ3VA&roV7E_L;mgqRo2xj!OiKn|g;*4|mcho_lrITJ{=)OGm{F3Q zG^VQLZ2KnpHkk;bjtdQSjwAo{*jFn|t}zs(KWU?YvuUQ>&i4>{^2q(vCS9t9AszHo zA-C)iA=~#95!AVniSYrsKhtO_x;){Obq}m|3Q~c%C&yFlT(2DL1+a>KAU(mvdpP9S_W$5vhf=VYvFaTgj;D+jIDAO4B@Igpu*m>x$r-(<|`~UP55n%O*mvDt7`a8le zbpmw-BkL~_6gKfi0`Yv#MN;k>37YE4Z}!Bek3h@BPfdD_{z}|wkzdNi52~H+ z4V|K{Ei=4zL~^9l`qRC~-syHviC;YSv13#_KLn`7nKlV=&&uX2U|(FL+VdaN+aFX; z9UPXmjTpW3Ad+Te*biX>W8P;UY3;7I{GsETy}e5>Y}4lxFNx2p@d<;6Qpd|5E(-jH^z8&kfZ3p)O?&r@)mxR)Z;x_-pQtWl z4am{X_%HdLtnaGM>xCU(1;NH${(8?v3A6dHV!}|mlvOSrVI%?O^O2u*IemH44ai2} zY{ZA>Wa`35Y^RgY>Rml8H)*Yt0^9|n!bpi&>z7+mK7=`A8E(aS^=aR3UD2c@+h>#L znOCW{}P9Eo*rGH}mO8R0~XvxLj)6(P&q^-)%7Fcxn&GiNjd0U&E zk5|fZA)M^z~ zfY+5x84)R@-=f3~^l(x2iQ3)H@dIF)a%+hS>}Mx?jU5X>QSj+?<$~R9ueqQ2E5p^b z`x(xCzJc7*Ek0sFV;WV&=C3=XM#AiJ&ZmMSGWZ=XUVExOQ4yXUzT$6NnhPvhWbM>o z(!J-ec033bxFs$`GVv0`5I#ApY3=!q@x0W`RV`{ixr#`wX>Vf7bGx@WsmrG{`7&SW zu^c(6*R?vb8Btk1|OfOB5Y#)H||Vh6X-Odv;o0 zkdMS~4DudXy(KNuPiG>NA>`HEd?Oo*`e{XQrTW_!)wZ{2CS%zg4Gbs!QSE0hjG$$N zR7+#lL^`hR(s(y%NSSQ$Ci6l-WdxUEh26yRmU!}Zd@4@Zw7%C|0itWjLw#$k`HTH~ zc$|e*nQB+GY}mJ}CW{^(P(NR@&MVA8)m|70w{znp{jUgb?bgMIm~AUg1ZD;@tv=Ra z0G4UrPS+9{JOV1GSDBAR2d*uqE=w|!e)hXN!%+Wjqcwc}SBgB{>P(Rb3>^v74DmYG zbJp#-PjY3)#JAfgWPeNTaa(dXo97c;6b6s1yk)x;+KryzQO|cBCC|x)TM_j7x;o7T z78Sm`+UhCDG&H2I4WR(9oVl+J>M%ok?>7sb5hRsjp4WpWvspM}uF5mrrC3TnoZ$&) zCZ%lzR0ac>D#9w{HX8cGURcW7Y1~9>($3n-;4sVaIgqMi<6|gl?&n^!sELWIuE7=p zQq=bp_B~p}K;zpu;cD^+SbnsSTzrYQfZl6b>QVpzDnn^@;~;vlZj*Fh^-ikxYyi~9l(f95DK*4k7v1yM>c4cG96NNcbuothPeAQppYw>-W z`LXQ~OO$bTO-(e^ZRBX4;@*4EI>8SoaGIa>g|0X*bB*fp@(yqoP`;jM+K$GPl;GnW8w>B&eXJx# zW)rT)n@;AoX4^l|NYqXV^fEYaU&o#;jh0(Kioc9b3-oN$)395i0PDy=UJ(i%4oUDC zGA4?WDJb<7Rq5U0!82Bg>ZVkEZ=rmP&4UWf`}eQ3aA?I-*wbN!?_SZUKp+HC}LY9$;vGy_?U)w_EhJ1`ZZBO+(JX5%PyT z6pe}*9*4vb=!pNNnvkyq<9GI5v@AJyk=r`OrF67-;{z_w4r|yt*7UWC8XdgfAPiF< z9X-uNBQCritZ=@vDIlC83Inqa;}@3`^LiZ)>D#NKT2PpZA2YZJT#XY5+d=sQx|?TK z1n5+Yf8u?-K?i`CS8a&q;X*7fHwP{9&XZ96atd7l`KV-6&w_&@t3Qb0?LwVxEZU8& z8eQNk&!CV2#bvf2W>yYZDL5bth+ZTD?bLLK9H}@;dYh6u&s&*qU->M2f zk04XXW7Ya-x~E;fw8!ddvF}B(Sw4(g0TbW7Khxb|%o>)ch*^~%AfKzb-73D0Ufb=T zn8#rTbC>s_y+C=PDrZcLK;HU1*Y}mNw>vD8xP%14dxubkJ-|i~Q2!-CH+T;KRbDXJ zbbWB(&^?8p2DO;H4LJj_Mo=E`rLC|9SCoCH9hBZ|Odv~1OTEi6i9s|r2h`waqWo9= zzCz8N$YOoz$RRiT@D;4PIFDZkdRZRYmp^cOgLJS_1{5p@Ifu%_L8Z z5cXcSJV#78LQ8=jT< zYWmgWHCo@JCjDZ*;pl##(9Vx`c4UpuzdF_Ic*c0IV2d6oMU*8YKCC&$8vI<4isCOd zv(Wk_u9@kbcP64Z&S_Qp1+OPBz7*ywE6CcEBmWhPHUKDf&~EhC6}xLC7OY6f5X@C6 z0>ate7%8dg-EfFp12%C`1s0y<7r~d$ zt6*mDz`ivNsqrGm1@%>9O*S=4_@lEtW@ixnXGkL!)@7kw<~8IB7kj5%9@W$PW&e<< zm#HAMuE}TZlpD^rO;|WTW-E!ykPs9Z_eH^wT)zq>ct$1~pZ11K&VA{ZiwO$bq#Ppi zD&OURAy-K~6Y?-!G0s>V!ykYjd*;ff5J`MH5E;s=6q9_|d|1m0Q)Fo+_x@u8NAiRt{JzLnKdwMqH#X+tv5!}gqv(1@Gf1qWB2z~- z4ALcw+Iu@WedN)x&nw$7dXlT~JGsAnw?32*?CA`dJKv;M%;5KVoN{UidNv^5#7DJu z)io^%EwHg@KsdY8tR00*^K=-}qqQgyS(F@K;_$SK1eL#hqs<9ms;qvisy|+r=>q|m znpRK%e!Uj{z*X>qx}@jHehvfiGmElTC~8Qd<$PGi^ILA~YCOyaJ|+O5G?@Qcsv!X< zhAhW98=d=gP;fdAsu(eLN2hZ=CokR}ZxhFIt=y>)!H~W8F_-e!UbrRUtTiOcw{Q4@ zA_k~vq}z~uX*kWt5bt+UTrcbG>ibZc&VO&iD9T*Rgsjc_wV2{Q$<>lE^9_OFdyrO@ zEZmxGMNX5vCSe78(M{070x|C`DR;+&R>ca_jlcss(L%#BE%W!R6>FgJ^7$NCt~MjL z1-Jhada&;sX+6Xg=RH|egi+FUoMlQ$I(b&b;Q%LDpAs`eb45_t>=(S>2eDb#yX@VC zS{!0!kK+O!t{&nIm*MJVE1u~KFU*60vCC-_j^E>Hq&{rO_40#TnTinJ(OPPewTf!) z$Kz0Wnkq0vW*U|Tuyz_rN^e&7)UmTh*B6#DpQUm~{_E+x>Z&c0Un0<6l$f1j;646? zs+?sN_Sy2tG)w=`s>302#hO72M~`#urdgMvnch( zO;bQ>YAHK8Ww6z3r=YO#GC@~65rmZ62uH3y**82k3!=vC=TV$TF#3(0`ufoAvS90r z|Ng~CirMLCFhc~bYe2yw^`kJBruk_cQFLx3RRXr7s`q;U=57-QTub5CJ2MBO-UDbE z5(T<-HkE|1Hm`Tpbm`Ke8-|B)&MA$I$-fJGpXd+f>{nGq?=rYt0pQBup*UL2^p5Ly z&^1!Fq3GazcPnJ!l0o#bqO7bqN9L!mUA{^&P>Q(QX64s?fZ9p=;_jkt zY6l@gBBsy$wQVfS8b+_=7Z`Up+nSG@|2I$=6ubI7cN>m*F+QyQP4shSGLfPCU1pm0 zwDYCBQ0HhS`Wq*d0kU`kdQVv3wZ6j#fd(<*zC+v2NrVH0{Yd}W5UeVZjeGzV?jxRiOkbbN{5kNvWE z+bx);)zn=}GN)*x}MOw$*Em+}ee!MJM zeT>c^T~LW!;Y{`Brq%q$cQh2Rrk&GKSwy7mj}7-!Vvww@j-L^t^?iK*z@6dwb#3wVz>-BXe(5vW*iaL-I2{1bKOC7Y%gf zU``q8`jt(CkWEx4;Zysm-Y91tZ|n4f3*~n4m1b0XEs`Q=)EQU5i8XQ1%OhQiD1Y1} ze~T78gc0uMQ}-zdH6cth#eSLlb2Z!M#3R>@ol}ad*e961H84s*H zN*NfR#7_ZLR;0HuEqK-shqs=NmU~r8m}#dE%P(B(a4#7|YI>bKqLqtR;C3|!8FJq! z+zp1O+~+9^T(PU)59NGLwE0b-G<$ZPd`m1)-}mhB(B9N=nlCxlEX?IwBNwr6d%+H*L zTJgwGwCvqKMS|lob4`DnoC!Y+O&_&fj?_$XKUwhqvqCJohi|;GN5VI1c@M;1vaH%Y zW;!OZ7iRuKFchYV1C6e!5puBI4HH*D{gIz5KksvMh+BR4!-T~_36#h+?`~~`d^n*u z2_+Kp&i>g}+S^5R8aw!$%7-~?HVh>@VOI38;AKdijm^Mv*!-DDQ(!M>y0fRib(=X* z3L4X^cNa++Tu#I)=71|&97soMPse|*>N;7$myc2W&bz*I3?W$+T4Nw37| z;51Rmf=Q>GOqF0~=Lmv~XSbrV=lUd_^mWxX5DUAP;LxgHrE&a}q`7Sr0=}I5I)mgN z=dOXrRU?Q2Qpn_67Dgp`=PZL)GF`hT=>Cn=9flONs+y&Ql-svG5wFEGr1MnB8KEeA z6$H1g{$Rk3{iEj1U$s)SJqxb}wq$*zO}-BeJRJac;&E0*v2wk544oX_1>2<^qKv81 zgk(OuhuW_qba13O9iSD?rIn+R1AHa=5r`&xx!}E%5oAd1Pbt$ zgm#D*&0i}txN@=fRxwze^VpMf+J$08q2KpWnRE@7>^>%5`7gGzZt)x6ee3O2B-B6u zw*xdVHd_EHm|3Fz3%zvjFDmig5BLcE_dmz}SAX^j*?npf71s>mQu)xJXAq+HkH_!i zH;}WOU2=caX)fivWT6t|q~fKbde}fYX69J@HXtwsS&EW-{d7?jl2f`l@{2F+0B4-@lN9f&t0r4HZY)V9hB!qWqeLY_V>?ArtyXxbeT$<)-Ip41keaAX?MkfpSh%&KslK!P$jL1*-Hw`T< zQ%+69(2)G~cKcLmdU9D=y8EQQs}y>1MEY3C?{vuu0ql4UDI~0qm-_a^>R*~`l?KI$ zT>dbnX>qSA@AFEzRa87lia@Tz2^Q8OOCv#0goe$DU^rC3 z+^sRuj8rAhcHZBld&8W%+Fg7^2kZjzzvyCYC7` z0>jZNZ(_2Pc01oFyUiN|sNHJGNv_^IlK-=8FGnlVio=0Z9g4s`LSGP%y^;Nc`bh=5 zd_K@IB9R#fug(o&4t~<*sD#)082$92O0LY~U6&2A1_o?z*d_y1nLRodn$!&e` z&-?w!h<4S#)0smktphr#r;wnzDuZ5qjW@v{{U@>)j%dXEI;+=uDnQa-Z2 z^+ls#3W-*1Eg6%7A>C%@{v7eJ9cU%u^Ga*;&BCXu&%c+HpUP|y5zMhKtXCIK`>v`z zSsU10j1~`a-0+O3&>@lEm7HR?ute*8E^J-s*fMHB^fI}t%JK3#Xs>{*{adyb$Ev3t zmuZp18$Nr&(d18?FhEfRvFN5LUYid?_&EuWhGTx*aLJ4et!ouozDUz)iATaW!Ho$u zc8f6m6r@+zW)y7pS+(y9`46nUgulcK&DmbP}ePSLP#lqG_?hB=-p~YH#9Bji~If9By|s!-d!P z`LRbemGa>JmV7o`D-+UC!OLgpbGKo8cPQ&Zh$*r~3$_2B#8OHCRuZbOyu>0;uMQ$Sa3gBjbmTTJw@jFB*C_+i*yAr1t z;;5em{ZOGy1IKaFA|m2+O2dCZE)8%Y6%1SB;b_nWUq(SuB0A$0fZ~tWHwaX`Pu4}B zsGr85eiO}E-+=r99jp7mJ?{60drn;30!Z~+{8HX7u&j$l3=f3Aqf(btE!WscnW?|g z|5B>fc1ig^vMpt3iYNU$jwD6%G|};RgTTkmNZF4W;Nrl#;-<3i^#=`$&$}x+4eNw6@acJeDh+IF+P7^T%unwzIp;2zi zz70Ts0SAO<))bLSal6NEwQ^0bbGYA9zY-tqVX?AkovSt|9xn(#mb zNbUNz;BhMCk?E($;*Yu84=x_AbYR{VJ!gUxcB2}e&o-_{K`|Qhl6>BR`oiPt*|11i zL=iGrACrMF`Ka_w%J2w6-!wH?@%)HNW-jJ>?tO80Jy`WFIqy4$bhF)jvv2){<#2wr zaj<9ZOY9#V+lrUvsb%XCOOg;B>FQ2F{Iq1>b5jh*=Vt$b2>g|t*6)g~JT0!}&)ObwrWW0s9{6!=YorCfBw?ANq(NdgTT6@o7(oi`&Gl@_#fiS0!*}xn9a$ zSf<56%I)o)L}8l;lrED<$zJ)1!hh?R8^HCt!tWb|%Qv4(lg|~GzZ@-N^_EwYERE)K zX;qmE5Y6rr)t0Lb)xe@>jWITaoQnWJqM$2N%+h~zsGz2x;okKrBsa*+X!C)&oZ7PL z=wgNz{?sl?@0g-LDZfU7>rt!?Pj235Fp?5m;)ZQZsQ~z;p&UB`$uq#cd^^*Xdl0po ze)8ykeEd9LGt0{>zxYrlf((DLH`&JXDOBVzUo>ISVT zSvx3CYG{GN@Xu9H&fvqpRB61hs$9l5AF_OU#yuU}bfT$dWd)K;j@h7oo&q__Arix@H(u4^BEF7pP z{odkyEdO`m&nDe?XgMV3bHKl@g*0Sj^&qaQ4kW)k&)i0lW@yTe9VYkskJn$=F|QVg zp+W(nHV^~!>M4&|2Y6yfnHBDFux&8HYa&@hr7=r*QbjF7gI9j8^pMFsKmC}e;f$jE zU#9RHGO!JpmgfF2D=74F&b72&oYWJaro`NPux)jxW5!-Zh+FJu+lG~BicMg@^}s9J z4*#D1p|mn?GI|5C5ET_#5a)+7B8r;)cbVdbM2+vE(al8l)1m;dCoLX8#k}mpFFbv7 z7Rnp?BP~t_`Q(45^TQ|)B!8$j`-x|KjOX$4Gijz=gTgk+1)hmuRGkLN(PnELOx^*&qu}A!v)n^klg}c*|VKz|)m;ywwlb_TC67JPr(L1v1mS zN)@*4*S$3Annl{rR5W3X7v*#l56`yzwqNcqiIJUxCd1UiU0Xt%69m4EB+g8d2@eP}a20_KZjARMvvKE|hfV;&I-Wu(6BiU+Fegd&3Vo3$B~Wpfo{mf8?L*qI}3^ z+|D&yBwOX+beT5qmBo*WsGPJnjd{~ov8Le{J|4o{10Uc=5w&03KQQhWH}wUTv$9>@ z0`n~J)1K9o^^((M=*Z;rpiTXCJ%@m8+f{b}ck%ILo}%$X4Z&E4x=^CTo3YgA<6g5{T7-T*3TBics` z#?>a`ohOq3FwPhH3BOoTz*@{omRZTF#+i<5nfi1|-Dvbj@NC@!_ufg!R;HlXA-}do zH2mZ)J2Y5tDS(%BvSP3fiB3)sSGJwCUc;f3mw28xnZ|F8J#8BJI;9pXa35$CxKJ}I zE4yu;u4l++Q`3Z+xDVRW)2kP_g&0u$tMu-9$_uVv%vzSL1@~U4L2Q@U1E3L@aaMnQ z`^I3{4)GVnE?(Ltq4RHd&1jqXQ10n`l^@Kfw|zEiXM~%D!-qD-F`Ku0>Xtjc--tFg zNP?;I6^NRgX04mpgWgk<&Gk^D09Opb==D~^G{e(Mc`)ieM{DCU&1G7F%29@aKHlI( zGUb**nn8o6UE;Pss$A(TPM~Hro=hZskKaR1<+9C1o?u7Wq1=W#XoCM0AR^M;r0+XR zk~@5aJIHq?+cjg0j$NYf_4uc8^?BudO!C3c39>F*;H-Mv<~3D8Ylu3fQamJR|6JWo zmNMs2Ku}hkOgF)I(=XVlR3a%qs6n*q8nIPNV639g(s%czNFHhbLHV=8cA(60u~G2~ zk-Q!Cq9hi?ZzD38Ib>&=hKhVa|Kam-uS3WO^z|Q1xBku+;T6-^>@M{$Hrv#DgyIaO zi2LD$ciIE0PJ3RWtSuZ5P+J`;Q$I2OgLO(7`>$z|%-wwHh>e%Z1u)RAgYZcnTQmJyY%Y31FVou(Vj2`LC;R5ET{yXc?dXBDj{3|f z@YWe50161L;6L2&X^r9k8T5}N9OGL5o3fQHVabE7wI_LZ2}8C7X(@nS;$Hg?wy6KH zj~<%R35^XBo}Y(C7KhW39x9~j5&x!o1&l>~${)96C-hl{o^Y>4?dk3Pf{5$hEY`!8 zf=3V0sXdpN5dA-zDHK^0pN@%ncB1O@UroJR=6Mf4DN`Jp7B+_AaD9}qqX6?)pZlL* z{a<~0Frrxg#J+wl6T%I%yV4M)1`C7 zJpcJ?dBQkDu}WjFyRJ=2nH$2RpzP^do5v?Q$c0yUUEfvl<*t|qlTZycM5){JHUDHPX;{zPCS;eH1_SW}=B617 zPR&KXp}6yO!E8kf50ATFs2C|Ulv5jZJa0{p2dhzc7Ta=D)7!}>*CMAf78wli<`5i@ zf6UFC(0Xhl{FI!=TdlfcXh7RpMEJ-^idalA74W)(npf;i%dPs$yl+-R^2Z#iQnuo* z2#Qo7Qvc)ZANq=?8QX|9=+uJdgT4+^z{jf@k+(cyhweV5@t$0+?NTz%&%^w>vQ&=TYkF-_JpI<`;At7j-w1}PcB*v@AvT^IYo`i&*AQFMF>~x)G6H-yx+v`);WHR6r4u6tchN;_t#}E; zM-x=GUh=gKKlSEB2F<=HB}MFqRf4eu*n#u*F9;)6=-GkIxkrHT%N8Oe>fO^XdB=)> zp0XXPA}$U~`d3(J>fV?e%m0zHh-ZKidhT22y-&g6w`%@U(F#HT0y=;IK8~@@__`7z zn91;FD8{5qG(S4XfA|&kb*U8e#W1p}p@h8mJXN0$Oi-u68K6-bU^2ylpSK-tl}=%o zcXV16g7QhO<-O@qhAfi1{TjapW;t=v zQ)3~bVj9Z0?uEfZLe9mKkI(PnKaxK5P=Gu|(bL|puNKw=__h#EFtQAfPw4#*Owl-K zrJkk_YCfx%Tal5rX=Gwij)spQZheU3!ZOk*To;6@M-O)(Okd-W&`#m<#h`cZoH?Jz z@NQjl^w`zq26xKvC;lr~0C=QdgT;ex;1x&U8Jb{-;6>){yoK6(ei3o}h+aJ;%}{Y@ zou{WCJ%uk#iM1%3Z+00hkWbZQe5<{rOyo1RnJ7-bIa86$TM$({@mT-2Y7NFT0!WHy>=;$p&x_eQ6s`B39)I%wpGeT1!)(#h?6 z1V3A2{hW3-N{HoZyIj;SBYA7w3}vZ9kJlzFhO387Cc!^f&_G(^9%{$TPp+fg8TM-7 zpP-nyzwOR*>7ziF

A9QzI)My2V1NZ}`)dkgu6al=S=`7JGJ2NZAaj>jT(n&{)86 zym-jO#`n3@JM~tl_JG5S*G(YTGdnB_mMnXl?gnB$RP?*m23H`Yg6{gm-f2G~Ki8;b zlr|35uw*>l%+i{)QaGwsD66`fQNS!IWuA7=x>IK+^$s5bHI!-Y0ftPhv6{lBhu3AZ zT)ml#B7k4WRWJGyTyAJMD_IIJ8HmK7`877cEkmu?%~v#pvA4WP?H8t~RKA~7{8tDk z8HM%Pk7`EayOsubY~`6ZnTJ0>D(N6{bM+@{nX+W7x`NR+)WmvBqQ4j4%|^1m5Gc9t zy$XtcMphBZxPPLwDl;rGDEKn4fjTtc;Fw>#HU zRHjAB+o$$6mrv(6`}2t1z;P+zePfy1rXrZ6RmUp|Qp~6+g3y~T%5$!c1kBp%mNxy4 z&3dcpg7Y0K{Kr{SAvwaad$~Qm6Pks2PCTXrmfFlU+zeW)ee2xWz2NzcjOIYwTX#>< zaQQD?MQNNZ>Fgy>(~V;^*e%Z4lN)Mc0rbf0((;tKYnO~r>3ZSf8{Eb;Yn?MGf%99r zyjN%B_{roJ+3v-)2bDDOl(+s7(LcA0i+y#NBA_TvBw&}=HyVW9Mvt%heTnz;);lrc zf;hQHbH|Ali!Mjfr$cD4`=9oV7x5?jWbAJ1fn~A*($sd@Q@S<7@kzsrt(coUWkMwu zmwoHt8WLp_>8oGaJeL{Q_Vq~XG$M|&WTNe@e)~Nn;D|f`b8@5(b@WSwZri0?B3A4l zw5NxXIi9QA#&aT4Uh8co(UYoLtITtg_7k7Y;kD`9@^gOV*NnPL`yxN-M+RfQr3#09 zXr#k$fAoFzJl+fksc_sb@(-E zs*R*fgDdtGDFD+L>s5{#0_MeK`WGbQq2mq~xvw)wD3 z>GQEbFb8?2BQ#u#2G3)&v7-Byhw6jpmi_hXx! z4s=_0A1_tuId3ToHd>|6LUBaTd=Q;gTa*BcFL2W^0b#Bm>jHU7T9Gy z%+0u>%H%rBrhK%#94xAfR(xk9e(p0#DKntfi0S5|M9aZ8f{X*ND{!Xt0x_CgFAGORxzq*Th za|YweG$d}IfwzxgntLVIqoJ*YIzb({ZS?}XHj%BEh>}Y+6!6M zwjb!4>?Jw9m)(UJsk^+{in?M3PJ6c)4j+2wZnbPhdVWK?ZB*QanCs{V#JqQ&M+VQx z4B=@RgHVI@xFUAZX**NZj*i+q2&da}2OOVVKad5`h|)_LQrsBr7r5LXIp1(W!<`LG z)jPE0DLz|*N#?Cxbn!KoZqkKMH#5tKig|XCOe5LkF&^qWH#F{58DK2q69|6;vM8oByZkl$xVu8D5A?b54K zDYgETg@*vnRq$bI&1$;r87uo-#QT~y)j)17C2gR53{>2r(%{zGRqqjo-)OIKqPP3r z(6{H!`7c}_?&Ym_h8FV~)@-TIwom(Z~QjP%TI;AsTb1tH|S%&TEAHDwms*= ze)G4vozAo6`XXw&%-X~Mn2c$*IYfu5c(*6rJ}#u*caF5Xrzk(Rdr9mc1txFpCuSyp zL?`03TTK?AZ6Y>BZAUQ0a@vLK)_C+($@x!~YPT9s2Q@}GnRp6%H6El#zGN^b+1V{N z8p(G4Uc9x-9BwvhO1@_y3yA*NPw*px&wESQSahSGz5!mOF`?~A`yLammQ#c#OJM8P z*ZDa?ymD!!iiJtu$q+MKHrVaXCKw(1sOeivMueus3Aof$Gpm`p_D(wa%qZTk7ul`{ zFbuW%POP8uju2X|I85+^+SSBw#?B&FXF~_AD__j7uJVn>$plsHJa-1JkN64+9|Lm{ zpfBMYKU6IMIK$`X!LO7!=2vj4u5ofK4*qQ^mBKQozU;cKp>Xq*wj`>~0+pVUCgCN-VXfbEBS-(A9-c}ZgEKdOfrQkhoDQ(Y4kBxPqwG}z~==%ct z#ADFcgmbDJV!2=@{sd=CDFr6h6~uXfmte*_K|%4_{&be!f?N_WfLp zq|do$&U7;t(1ZVJ{0k=YQ^!~hfgm?p9kNL#OP1d__brzLn{aXXL%}tasBPS?Cz)Ao|FRE zPy!MZ9)Fp@UF+DJ{H5BsE48&#i@MEBg8%;f|Jx=*18aK4ZU!tNC9Mbt02wNy9AD0F zY<^J+K!Xj?cBgsBs$SC0^VCi$fCjx3-gwg1r|0-9yE+*U65x#cBc;IKaq#=_DnLR) zBB)5#16mgM%-InkI5EY9!LNk&S(7$%;Z9cwZu-x76n^15-AaeorWC*c zzP(fX&v}5KJIg^FCHLW7cBtP3d1<6@mmNCi3MiDySZ!2&brRJkYM{i!)cT3Pu?=X- zzRs2A6DFz#1-0|I>*3a@lxeK;R~z>~+fWMd0e6L7JTCKQtp>T{dso^mT;Aj*&)`kr z1ckzJ%j@RL8ma-o>W#qVUFO4D!UzdBd8bP6@GY0Lhy`zN9bfs9am%^~Co6ihuA9sz z-pS>wg^_DG(`lX-6+QVHXN$rpTUy2$*=8^GLI)0kF)%3a#v>7H|A9eslC3wPFNm1k zzDs@dm!0l)VC~yR8d~*=No)262K|SqRWNxOC;vC~U90AbU$r+Y_^W2$QZo4Y4QHI^ zHslHax!mh!`N3w{Fswlg61V@awCn6@GV9uF#z!m+s1ZRx9B1Yxp#%|400A2l81Fz5 z6Qo6u5n(X22uc@F8PEWOkOYVU!b6EM^bS&00#ZZ=o*4`iXF%ogqE-WcfDtive(1mqkPH*qfo?Vf~bDnvfCY-If0&&}sYn7oH zmN`9h=sA_ZWaZA{v;L&w05nd0(A1I~zWJO-=@`AZ4t?IJbNxiW0 zyG74bRPYpiz5e(McP{Znw@^b~L(My3O|IkQ%IYR#F(p*|HgvGW#oAE^?$~k+j+9&4gfj@LwyBgSaBO_7MQy@M|G@ z@$0-QL1^ywTIVUEm1 zl%nLag|VIxNz&Y#x2Y5L=HM3IPUa^$P7qqfJ&OP!S_w^Ku{-Gb@r6QNl_J}S9G($P zRo$&w8td%eF1*{Fa;R*Uu)Ns~eaTMJKKIv;dGUBEyUNw%#)^A4T%l+?oS3PT5;eJka>$E?P7SVblbZ_fSe(rK=K7 z^xEYoJ9gSmVN%fog#%oF_n4tD?6u-*Kntr*pxg3%2rEc|%Cu}P3*vLUz=)PH8mr}$ zFU)IV3FLVLZ87tv;n7C4CdCxnNbn#d*mruZzt=URK?S0?ny=4qxvQq*OFM%{LQhTf zrP3AlX+WB!{B%7`F^tYK2S%9+kVt4xFvqES5PK=#pw6Ek1@ zZusR*&R^-@P`E)2-TZ`WnPY=6kD_!omDZ* zx;%3Bl3hlHUo}ghd7+)|5I!uv;|dF_Oy1h9ry|5zyG{?fKmm5kFNq}xAGYkwv#AbY z7)O>NX_bMThR>jD2XB!HIUvh@)Q0m+1z()3Fg(MX;^;x2?WjMB{e~Eo*2V9ADlf3P zuky{QZ8bd|9(h9cX(+e(paIQ;P>_h0dG@Or&`kTkGHhq&!9xsVpS$vu(vfZJe-KKW zqdNNh22lXB<{Q?_TmYr5J>Iv|4nxQ?RMiL^@eAGLf?8x?wU{(!e{?XpOSA z%P5unkV=c?y)S;*VWh6j)!}#?O@5^niHqbs$U%J=S7KU6a9&&_SKL8bNs{poh`Pr4 zxWv8!<&^1_`Y0{tdOA3Q>TV--EScq8RgGUS%WHo*-FspLWX2ByRL@UZR<;80D0;jg zkfJyGnlwA6fysJ%a|)*i-^IN;?&(=}IZpBL8)Dvc2BwAeR@qVbV?ythXrZVNoYQbP4AVMAXxJ2#MH>-5z)`M-&W@pk3pw@B{p z`lwUb{%U^DW$h!uJUa1cI9u&(irn7%i&yvePb^-xt#>{Vk3H2EQ%PQNz!pMiop*^d z!oG{zQz1P1p?G+Hy>=(5b=;S&zv)q4#ytHU|N0jyn`%I0tA7rSP@C)~lqcmdO(5&z zxR8}+atU1X2R0{kcBkrx7cEuYOCas0swl;u8P%eC8kREiLO3_BrW1r_Q4dJ7w@s4c zKe|aN9c6vT$CQ+yJuYL3efw7&vAai3YB8l|7jP|rzvZBWF{#=Dki*$SKQVj%Og5$M zuFvI*UiUb8^nkfcosS^X2(4P!84bzIC*_E5p z_DA9*@iEzqTW3m80~wh935k8$SAbZuAs9_Zz_u$z=j+##p{7Eh2%*wM*9Bwo3!HtA3Q91G7bALR2>ZPm-J^F&=bsv+8ZG zoK|LRty9iiNy&2tQEicRc8ly=(p1ds^~WO1kSz`Pt~qQry}eVS5_`(>HiDSgzhAS* z;kkHhprf{UPrZ5=!gp-;8P{?Lo|g2{?N6w83(YX0_hQy#08+$&`4=i~6;2d;;81=@ zgo1ua(`O8vzi%_MjJZ%xkueb)5Oh3 zGj1`BDwVLVhrF_NY3mVzJS5k%6+Bw`+9Qa3%gS3im@%z_&F)TlPdR;?DW{x-QGe5W zI|!yw7G3iksD0Asw(3nwJ}+O|CGbNzeZ3FJ?W?~cNLl*4j8$2FUdw5YdlG$lw^|*va_R>GN-seTeO2f1E?`BIuX3ZRy!nf^?5x3`-kvKi~HEH1b1oivaePFD+`Hi-3LW zF9`P`ih!4S(;U^ZZ)c=$APH6G;G|n~Z6I%fVFS4@{s8vZH>65P%-stW_m40>uQq9J zGe9@Tyb?)75KnsVUAZPa@PgN`R(EzCkMN&O!=yd9$rsKhG_AgH{RU6+Bj2kML^D21 zw(e^15wyl-UZ34$yEcN8&fa=68ty+^u4(u}#dUzK1@+K@vZ2L)!{5oi)Q8L;-;RRj zjUCn>s7-}3*r@s5rQ-Vs{Gc-AeMB;ePvSE>=o~0zHp}S49_c@HKT-knJ?p&(5F literal 0 HcmV?d00001 diff --git a/introduction.org b/introduction.org index 58e2abd..c33b11d 100644 --- a/introduction.org +++ b/introduction.org @@ -191,6 +191,11 @@ This option attempts to synchronize the execution traces as best as it can, however once your processor design derails this becomes impossible, leading to rather nonsensical output. + The output should look like this (picture is from exercise 2, without NOP padding) + #+attr_html: :width 300px + #+attr_latex: :width 3000px + [[./Images/merged.png]] + Instructions that were only executed by either VM or Your design is colored red or blue. From 25b01d050b6d940aa02ffa2c118b3fe683607206 Mon Sep 17 00:00:00 2001 From: peteraaser Date: Mon, 1 Jun 2020 17:34:33 +0200 Subject: [PATCH 09/12] Add files and images. --- Images/IDE.png | Bin 0 -> 69132 bytes Images/wave1.png | Bin 0 -> 21162 bytes Images/wave2.png | Bin 0 -> 26528 bytes src/test/scala/RISCV/testRunner.scala | 3 ++- src/test/scala/chiselTestRunner.scala | 10 ++++++++-- 5 files changed, 10 insertions(+), 3 deletions(-) create mode 100644 Images/IDE.png create mode 100644 Images/wave1.png create mode 100644 Images/wave2.png diff --git a/Images/IDE.png b/Images/IDE.png new file mode 100644 index 0000000000000000000000000000000000000000..5a99e999b10958150435392fc558281c6a2389ee GIT binary patch literal 69132 zcmaI71ymeevo+i}L4sQ#KyZiP4#C~sB}j005AIHIcXxL}aCaLB?(X*UKFM?MUH^B# zZ_TVV-93G#>(o^5Q?+Y%h@6ZlBHRZ!000oh#e@_905S*wz$swC-;Q{M;Ele0K-de2 zE5X9TE^o-LzwIJB2&*|LS{pk!>)ROtil&YZ4n}r{eiQEifDjND`l95rbhPU1fiC0&BZdOn1-*feq=2#v^Vmw%b1~gsR z*fXkx4Kh%uq5lrbtr-)}vMM37hDwE^0Q7xHRlP(6poIh%)k_N1KEGM7yjf{)$>h0qrhRsj^+F9J!Y6N*R~v`qvzB4}y}VWU(19Ro;wL5am&tKOaqwhyq?n`AfT)hb7^Lb=h)S0v ziXV4!16+c%YLx#@IG7lr(B?cCPBDeruI_a&*?mTA+yZMXE&BuVoGaRL0z9q6cQK?$ zt1&38eTY96&Xmh9uKQy{`P8?pTg2>VKC@%!N;<#FW7$vIdq1((XPYA;b%4MNg%Ev26t+ zHCGzs|Af}t@dFjD=%683xK2HSfa10h8iNqRKi|kTiWuq3qu{}kN&o!$L`4j3^ydPf zW=7=~Clv?P;QsDAv@@td{@wPM$N3vj&yu$9y~fxGs}|>}B_#2deH_+%p!lR5TP}X~ zKsA;>X-iu-Da1VRHJ${R2=ddca6O0c;53Y_a^gO%!*gXgkQMapJHN&l_euo+F;v88 zwOn=+Dzyspr^{w~|z!|~Z7YA0Qqw#uqgi$;jngxT!efPV3 z4Gx^Ye6h8mv*qY(;5&4b@0cAdu4eS4@BralLAu-RsO2)etLtTD+!yQi^HmaI&kIJj zD9&HN%06meDl*y}n| zkedq-ZuUB#uHv4rMz;EWVP`%}57@N~eYyRpwi@<5g%l~%V?F5GXku@|esh{G98hKg z%0I+U1sAu^ymPGl;C%x8OK-6$Cr^QRF2vIy07o(It52q?u3c%eC#k5}=c4IIO*5th4X|J@5g| z=jC~Cvvh}zT;9;HFo++2dpU6dz!{L=`O@+G@gS-b9DuWW?!+gaMQN&YIQG+qj#5hU zw1z6_kHGIZaquQ+%?Kt3y*j$)Bs|~GED>^GmrgJ0T&BA7j7mY-4<^@0*gyFv%TM*k zw~Fwvij9~j%MZ&Z({Bk^$tesCycB%1^n59^x- zyO`MDf4mXjkwL7_JJr16XvdlA6l*lZ_ya$C(7zyIC~52GtTgO<^@3`cPU%5ft9A*|FayIZM{Sp~my-~cq+cg`kYK+&qe zXmPOyevw$QnD6Bkyk1%SOxhSj2!B0JGbtoOxI2>Y?7l)ssWz)u_f???%p;n#nTEVX zb~0Nc+hfaw!r8$-R+pNO>Dbp);jD+Fwuj@^&xA`fGieFt==?KVDnIgagtw2W$&aaV zzPMYc<_j1&;qYc9xp!@CNaPBov~raSn0DY1^**JJS}U!7l+|&!S=}K$oT73m+xP=^ zt9$I;In7G6`mZ z7g);L6HG=cNeSxbR0VvFUJ5*q;65L`XodCFQ&PPE7cGoU5{ zfIbH%1z3!={yrSl1$aHS4_}AfW5>gfsCjf%WArn!!*f?f*?|@AoQGe$th)`%&jwiZ zm7LD66(ePsI*lx?o?id~-DHob+d5alSwzt=gv$a_= zE1E<;=J4BHjq$o*hs=NOGjDn+tNQ1Mm#^4|)86Os8{kOWSj;nY2wwYR?{p9VfH(%t z^%NWHeXyj15aB1PiS2JGQJhBy7pOW!!Hh=g4>xa#Q`)BcRRo^1WHijLmY{%}Q#3pZ zQ-$~VT2C*+#3l{UBEnp@v~4mPOJ2nk5C`}m!EV6z1O=b}3#nO+IagKBCzg^?Lh|*4 zebTrq*O!FvshB6PS)GkVi;eXHbi3NU=&a4m&Y}{wt53Z$^xFi-!Q{+B)k=K zH26GD5ApA9Ao6Qpc(1|n0s9@q$wnMmT)%G2*o5$Sng`m#m(;5xzdp^mvF2a-w@)~q zg66@20f5Mu`$3=o+u8AC8LY?DpyCHO-ccydrLubWa^3K_2sQ4@?@io=F@mD5VDZV` z_j9lNvb)?zV0GNvyPox6hKA{oJ_k=)*#Yy?WzJDqYcXEWz4_tc5VuwDHd(N0Bor=d zI##V8EyAw~gk?MCI~fh^WCQ)ay>7&5Y!e?x4b=^r&_}l#l6($J;xRZnJru~YFiBQH zH+!Es=Bh8j?4zVmf2|kMu1~f*yKPg$ptx$`H7^H5-?nWZtM|5L(WiNxc2YL2!~8`| zCnZ)hF|E~CgH89m%u%}2sn2Z^;3fX|@Ms@i=(KFc*Qz%YG!l2p*OHX-M|IO5*AF|U z#My2iIS_?X6MZJPSYE9701fwzb@Q-sB=j=Qg5sv(gqFI7$WG_HYAGthoMyczoa9 zXiRxIA!+!X41^1*H@sZCIeRnTG@P=!dy=K3l+j#i#Fm7x6DF3|KZs8l*!!1jMFE z3U5PGgL)i9;E647u0y9{hhOPOh7sRIncf&mY`}rW+u3%yo_Zt2T1MgWTWNJko^D^qLv^r0%<`v<9l~ z%ONDyij}(StY*bIR{iJRO%d_o29w0YR>$)Ah8syGG;*G^cux>otNnF4b{`A^65ggL z0F;!n;jwO%ME{+ob`GPotJi0et4rsd5|(RyoI}n5)%Pj(Ni^x$qkCq~nas&Y0-JDw zISp4cqN3Y0yN=@%Op zAWX$`@Ewm&AFr`kT4VN=kXOh($VRVte{Ta(l<&^MooejfHZbrtSop!oT1knDfbpZgC*~9Hgh-XQ( z*CZt!L3Cf8FSb-T@Ldo-?MJn=hucC^&Uf15REmj5vb0!W{1Y$Nwk&kU>uQ;ym*!3c z#aTmZpLpZhqw`cQmUq1FW23xv>4{}>`=vT=OAv?KQP=R%3aPj{544JP@R><@Uq-8s zO1;T;&MlMvR@p_8IW?1lzYku`X?SBarX3rFX;?UL1caobQ|sD>`kRq%cFGc(p&WZX zbHuRODMH6}J8lOk;h`wRCxf%6tvcvQC^?1+!EMIcGlNx>^Q?62Dwf~?Ik#+z@1*Go zD!ngE;wE+AKHntm2IJ+wJg5DjDTf6Vc6}HOR^mL)i&9{GB=eiyQ~HuMa&_RR_%r-W z%USoRHJYpo8OZ3klI5kr_!Site^1DNqwTajPP(Xv=0Pqo-$^Pms`fN|vfz-6O5-qr z@QIZw9WI&lJiU;4DH@qG{`R+EYyr1tWobRpRfrs$OJJHUD!(X7al3!;X5^q@SP>s`GQC{n5I**Bsu;c)=DfXG?@MVL?o_ZW8lwip zo1!Fs^Z&O|g={}s_KQE6iBlK(`cJG~g!x%lAt}A8%p&`LR#1Jv^(n5y`);UG?Ew5! zj+_`!Hrr6qY$zz)A&w$PDAh|M_$Bk<#p`5aVQ-(<03QOdAMD?R@uFpFB>&hpW?*gd z&RN|nq%Qy}b(V}d&`l*5@M4U#&0_vbAZVi|IBs_IrChWrU^C1N|HM23t1ncfs|=B~ z)WA1`zoBbaGPnLfcMgpP04%A;fSolZ1X;HGu&7c}hNisR`{0>z@`H|z-cy_y8?Vca zs~c_;QdX=uc?qy}yEZ}Cu0{VM_= zkBMQWxA<_k_&hL&1PvDhg=HyD2_37HJ_x*R=WD|H&b}F&X=r`Kt33Mnxy4;gcc+qiPg28KZ(PmT!#MQWCZq>#}c5>+G(t?d6#qtLwb) zlapzuAFp&TT2wH_w`W%6H57fl@p8t6YRW$$;*f4*#L@iW0R02X43b6RC4zSALWZ1s z$p|AyjV>R49A%ZiDjYUg5-2vq zn(dZ_a1!tcm^N@Nxs_byyfN;=ki<$#W}isR%)SmbNW@9k&9gI;#vhcxhko)hlRC=} z!|;1U)W;?W=9kD6h*2sj~}Dt*QON}HwE{f z8D%N(*2fI1d|4nKwrg85z4{sB#GRuGOBbRrjkxir4l%wv-;8s55lMaqjCkXh97mG! zaPzL{BsA{B*NZ(qcSu0#&x(4y9>SKmEqMCwyPKN?HnMcHaL6f%4ppZ?L#A4c{T=S9 zyfe8}OLCX4S7li}t+^tv^p!{UtA9efu%ppKzdeV1FL;WOrR7dz{yN4qP!%sf~bYp5}QE}_wf+W${n&QQv$ z7X{U3$Oi;cSt@fZbviN_vRz`6JFu+v99E(KCCX-sXeg+(1YEV1Cj$hC*Yy9=NZBWm zLy_qc{r}C$2K*OY`zd_rFA(hM4oxPUr@qjs|Ej9kh@x$~ZI;)80|)##@B5}28%}Ag zK(!X(CQq`Qi@(Yj;+$IWLtXqlN@rI#dqKND8mJbM0pqu)zWD zg?!cIxpCg*VTfL50Uu>K(G-7QwZ4k=W`Ya;$p%{!YJk zP)-QU{L87a)Uj<5hX#Lv2c>Y=C)J!_6`N1Xv_I}N90z=ryJY$lVm~4sh9&z0+w13O zZC%3h-6EO*Y_%B<0UM^zT-qYfE#KqV0p;$h`x@6Y0q*(;7*LNzu-s`n_;T6N_OPns;i2S#W#EAkUD_za2^r7NF97^?5V~f1*vVn3 z;-VouXJfX+E3p6J@2*vqPFJGi&B*9HMP5&j!8+2Wi{?$}u(z|TFs;=yI%TgxqQ7=r#8x~5c&K;L>#5RF3kI)Xrl7trXm z+Eh-`s@HpqS6w8=^zun8S9}v43yzchUx~dDB?dMoG=<+-y!=M2K1R>*x*oC8y#(Ih zmUF3yu!d*AfgfNOop;BjmKwV1M<>lER))Xb%Q=a@77`RyW#~4Z4?DDqwmfz_gC~PQ zJlYjHXR=`%hSMYI2N!#Q2tpi7JsC1ANq)dkJYU~pfKfQ2d~>WL zMwh&nBX?>!7OSf&Sw?5GB2rIK&iMXl^owxI$5P(E#XYP@dK_r`le)H+F~x(yjXP4M z7%fbaynV*%^ZkO?7$oFzwRQqr$fD7mkIo5LTo82x>bH}VvZ04mq4?cm3OQdaAwqQQ zNMvM7OfzxW`Ah!mMOV`J4_%~;BYZy9z+~DWYnWpt8Uw=#5BIXOV(^Dy*(3?AE0HM@?lv=w8@Zgjc zxHzS{qBhU$qhHQ*JZ!Ip^wXBWj8^_TS`q0^%WIImiv*qR$Ll`%<^*{2?ma2@q-a?A z7z9!yu2yA9ZR2&HM`*2#-(P7W&7Re$KyXtnLBRn^>xa24iAG?s!A@WmF7541*p}P6 zV<5cqjTzf7&pHoTAW7inH~eYG!(k=IXr(yOTW|4I`2ME(1qEqr;f4?Z%B9pFKz$0I z-UAf*0;|)SIfQ_|j~F%)((&!@p26E|`xsVegM;^pS+Wv5;2P`!sGLsRg~1y<@nkA~gLY7}&oI~PBPn~Qg0-S>RT&TZ+G zW6F=ty1J@7@CSYEq~Jnz4N!sqgD~8EVcGJ=fDAFl%Io83wa`QFu0 z5t(+U?uXaM{VQLAXjBn}PD?YT)Gsn!v(u7f`ZI?=sdiijR=EW$#v zvRpnOx5^@m7b22A#+8>z-{*N1{0gQBD&|o~IWm#aWdMBS?Cu=K;1zSg@?uNMVa$(! zav42H9R4lEC|V>c#FUvhG+$Xx*Eim;5p=VNy)PY>;Zf;r)VGy^05$#6OYZQ$bB^{7 zbH=^ou3gh_B;o>usq4`AqZvehKj%AO_P)6QvmvfjR=lw}GO3l9@$rrr0NNT{h6@it ze3JqkGb-qCp^z%)>*k8h4%d7aHSj~@h4zV`B5@U`(5z>u++nSKq0gQHZ=l&n0C1fBtAlEvn zN2-vJH>~ojF`**kwpVuF?E~`Vgy8venuV}v!>F1=hXN#kJVa+XRw^2l_pcTJtO^XB zn&s{2)&FtGb8XhIXL>TD~=eJI4`Civ4)0*To z1HLn@yVZ4Us|o4Amu}x%A5~Dsd2fw>SE3cXMkrtU<#Yia8t&BXB)Ld9BDAH|6fXUd z3|cBk#4 znOO_BtrCT-$8`i+GuiK?2`&W#dQj{6{b`tt*TZP2Q0>5dcqbF^>=nm)-mE$>zm8}$@O;#U=^v_H6IIzo;o#+Wuw1bvke!PmpfX5Um*_kmpY}$oO z4-&(K+cGifEx7SN-=?UV3`$DxJih-*y!PVaHcqTjso!gDAdDP#v%U1j?*9QjyzT&3 z4)bnGl9@r3bWL|pul`WmW0WIf)DV_ihkKBPak`xAP5!P@7zmrI!n&ud1K^JcC^k>D zT2Uf1SpA#zbD$?c3Hb+sSC&%A&@pHgf-npIn@WB0a{eJSdjFk%#U9xl_0Nc+@A8Y) z(}VL|h%^5Xs!>pXj_-kj|EpZcJ`8Tv;ZmXO>*c)~R=BymDLX|uBI!7l*;E633=@u+ zc5Xb_GIX5^k$1rX8;g1i6|?E}c;2%TOJ8NXOSpnWoqGehX99cOI6Ma3>x9I$a?yWk ztG90DUF;A@M*RpNJm!1YVDnqpnKI>TxcwD1Tsa*|p7z?-dfL={0#hI?DQ?u=F_XtP z9DXd0cu0WHCZW;5Q>z6U3o!iQv*By_1PkyxUI+FT81L4gCjTz5%~)x!5hN8zqL=FZ zm)OOkR<&Akn~>tlW{&^F>)Zz?dRru^nbELZe%}tpF{e;bP4ez_y{U%HbzJ{3p*}tK zparpI_W4t4?V?F$`*xJ0MQ6EZQefagq1acxtpVoOGtOSfH7@IMYB1FfwWjrkgwE2B z;~ZGrwg(rk-#58&fb+euFcYWyrRFs6y{=z|?(c2lm}V*_m9+;t?-V8UMF)A*-ctUiNPf+weL+ya6?KKpE2A^BSahgAX&dn@&J&_oBs z#@y>p0DVNt#Bg%$KHUk=*@@^cy1GrF;Q$#to}Z++xB#BMHe4CpKJ>}1v!2np*?=}SYbed zqW)0>M^`2(HCk7n8&d!I!HjiOdo-rjo-1sP+U?+=TZYT|CR z5@uxH>xqlk%GnfeSzSKanqRNBQZ+u&K^OPyo`CEJGvkbDUub@FYgJ-YEN&8io!>i0 zmz!2A9N59HO{6~UuGzTs9MGGjR~hy6UeI;3Bxxl-X2OKv%g+l&JoFF@UM<=b9<(cXdbd>sP@L|3lBe8wSzni zP4_}9YrScTHlQrMRDJ0`Chj=FZqGICR}*VTCZC zwkFnI^2tGxr4u1JU(8>FoVArEFjb8;X;dB9{hWrwPVr;xUKC9{E?rvLDBs~!A6#<% zh8y>KkO^EWy`8Qt@H(WH@_G@9$z=(GPiMbbvWhdMbVwOHhE%+oVE6(Ys20{!545 zL|W_D{l1pv7~59j%>|jTAuC8c_%D#Lh+EP1&miSV!uNFeCP>@Nn%aYr^-~{J+bw2L ziGk0oouhLg%O#w!|8zREwELDyo(vuNUdgH>@KATHX?S9EEjm8KC2LTj!oy2P*YXh) zTOM5%q6H$+t$FrLT|d|9Z6mT)HB1?bZC9gcNrz^)f~%HxZ29B!8xSy&j4jK#5q0J% zM#bojkJR5D>>s3RYnyeVT)0ELhxuquIj<5Mzv{JO?Os^0Y`PQ9-z}kG`E9-mL??C$ zvfVPL99vRG$f{Xu){FQ4HdnE#-tT1PXMc$p4>#DE$?MXg>7^5Ei!;e4Rm^dI;2R&> z!plPK%0q5+kK2+}*-rj-`=q1nM?!jx(*5b(D7Jj#Gv9EfS$0mfgdrp*Co0Fl1CN)v zcZ2DESm%=(6}XmI-NO@y^~z>DYEs@pE8{2|Me=Ufu3L+e*3z5sOIX{IJKj~Nd(tYD z;!p3VlIlyXx;1p58W-}NSkB|ssd&j8KKoVS7s!G3zSd{!iLOC>b?FmWN8yxK554)h z#pY*CX3V$VMgi1)bG~T_B>EsaY@s@u;J>_&SYF2ewWcSpPH%EM#>F#_xH81+;^;v1U8yO%SA@UR9h+CaPDFLF@jK9zXL9fQ2>6_Hob>F-)mXQ{z?}H{I|{> z@2ll7FIvD{=OJN0r9GjEBu%HCA2{y$*0A?Tk*E2$LH|PQ5116y2}Wh=Ptz%v1qG=m z{!>jQGv5tP?@8vWcJkcRw4~BPYjHzaKk`)-Pf2{FhgcC9IiKt8_($QJ zLp2o{yK^*?JH_#%Dt@d84=%M>)XPR^6{Lzs?`w=&FjBe}OSJ2s!m&T}O6&UX%kenv zvpz}0mvbJ~D~!rzeSRKdy^by$AaoW=CMM0(IKCRhX#_d+Wsl`aFy3x;YX&@jB$F}?a0owBTKmQPY~C)HBVazh_8J)l6(nG z2f3^6!^>skmR}2Jm&Qc6?=J|(UFJQRpEgO8fQq#41qrXp?0g10Z;pl8mIAEWpVSpP zOc}lq86S|D2BgR2B)#N^ZcXU4icZN7AMjSE5V^(|!GN#4xhhdeyv^p%DJ2g~`F);e zg^jm^Ty4aZdIRkn9T_w%rc;ThyonmBdO|4E_Gb|7J?_OM{%qSxMpj}{oJQz}Ap0mU zQ@PF5zeZf58t;VVGM}V{14*$WY-p3 zI2t$RvP;I<9tkR~vv-%daI3bL=&nD+W%(bq2OV0c!;*^9Z;iED_QBGBlSnSVR^qtU zc=LCR<2YGYA77+2B;fN~xbfB6Fkz2BW!d7fJWWyKRGHJGKSoGI)Ky@6cRxY;fwg<2 zaW#^C-j_i3L{U8p5$QUih~6uPvY9DcHCV0sylYIi!~%UG*!R{8xm??OkT=I!En29o zeC%m|D+D5mT;$&d>#J&mGppWkdAMW5ZIa+|bM5u9d>PFkEl&Id--NJ&wxKnTvSlRO zl9+v}r;KDd?i;h(1tyCWjI&2(@`ZViS|%U=o>6m{%fsnpU=pj&L}GNBs5dw7+pG#e zIJTzWt+yg?*W7DX%4S|p-uBW$Pj#sjddOYWKQO}N7Z=`f@Ficm(nD-7>BS&C-9t^d z2CTm&bog0Fo6=qi5}=r=MGGg43D&)vFkq=25CFQ47HIu@1Y_TY5ME=>jbMg)$J32L zXX(wcv{~xwXwoFqIt(%0n7mreZ?@%K(V4h)hCTp!I5>{eGGV!uE>i%P{Tf1GWPdWI zwlI`G)C0RD91SZVvyVoSc*>osU{)8*IKEN+9fVDv-1+SA9!Zb>(PG$*Bj)O(*L>|z zJn5t2urPpJhiLDi`B?FN6Q>dx=koz|D(-@0j!sLfOSj~(&h|5b`|03rQY4?#?df2m z3|+*|6#AW<(zOYUm-;8SmCts+pV^RFsEf$S6NAe;lDd$F{v? zgNMd;em(DLu=}qxXmL{`Rt@1wU)eHX+4J8*3ZYX-AljK^2mlaJ5@nV zEzp(VYixxeKM|&f6)Z#aGOy|qM&5}#;0Dn=v(NU*sSpdaJ#8Pqz!6D(l)u-q$Bn>D z*Wn{oXBX< zR|k)t<;C`{EkQ?77S`ZRWwz*wusd}-s%bzaWAW0~^Pa!lSaWx~F`uMfrE-bAqmMG> z)xP`p6qI$;Q`hZ^X5}j~@9hS8=E?bUTl|BKF#h$*!1r;!7K+K=&Zoc0LHB9pX)lNJ zAE5vSqYg&LWIy}li^-$irR1$Sv(}OJMm9AjY8FJtz{+9@tWVj6rRYN+Bx(bclI<{8 zE;G=GaohF+NWDZeQ{3BvVUUjxhE;Jir0th2v$yRf4%;PuEu-Wf?NdS+s$0Qd*Ot4H zBB6|XI7#tr7A&|v*UsZDVzHmi6<32T-|o%_QERPVt#2VYc{q#P5b#<2KB|@)o$K8_ z{B6~-wF#OZ4xTRh9CvEDdu~~tP+ejJwP0@Mh=_wqlVS$v$(_btRNa_S!ukuhyrB7* zb-~~e`v0P~_z&VtEQHh3&@c%@A=)OTQ8Yq`5q)nDX1P@oWLOZfo->nm5fn1Y4eDcg z0>yi=wjQda{MeI7cfDvz`6>r6eU=P}!iYGHw8b(Mz7T+_RRsWcBSSan&~c9LcRayB z8!Rc27;H5J3w&M^k2b3{S-x?!P2YRHxtQMvo$v8V&0!g`?jNNbOt6~<#XY)`BjbJ6 zM7&D%S#iXzu=?(ER=+}@DT^Iu*wiUysHwQ#k4`biX+HT6q-w?5nLz?N<}>DBJ`5-# zm(M~!>yimJK4=F)N2Eo;qd2N4*4~$`)_L5%aO3m8UaP9hdLVno5u~Pp5Yb){9>+=2 zC*gns+Cfr95vXf>gPJ;n)O=gl@P1XC?4(kupFdtXr#l0lYOFRh@zzyZy!*Y8@|OV_ zEF5>5JByL+lnrjwd*O?~X;&wcygg}k;c#BMHxs!^|;kie{ap1y%7b#V* zpI8UQ>h0jyZ>v?iE+L`Bkse7!(rF+1Y%+WX;&GdEZm!;4tQTd3JM|_7H54v_t&fCk z>Eg1L;a&8dAwM@KSDtc~6atG_bvms{AnsaFHkNEXF^xUdDu?@ivKvsXh}zUWw5Ef~Le!K~5jUWc zB+B=mA5BMJMOdH!X>sZ2@1QjMQ}P^TjN;U!w9*D}AYO-JNbk_<1XZ}F>x;a{%SF4W zj5-Csc&G?My3?iSC-Fgb=<^ge0pz282`-zm1Q;_GTq*=V+CSd1Fp_%)LT#9;|m6fXU&Ph;a+&H3Gq*!!DMf4=`qH|Ats2R z51?>y(-y+rV(teKw62yTScoQaWJE#k|a+W;t@_C&L#k^K1wWLc_t^r-@_M z%Djzd1O(>2umB%@?XP-FVWTSY)l|iK6~YRd&ps-al#_vI` z{$Qq})H#@OuvTeD2iEn`y2<0gViQMFrMd||DRD>%z1W3;G4-H3_A!UwTOomt_>hik zF+_~^!BJ0*8iq*;34;>GDyW0A&AHJ_(O&84Pilf*WxfpVXM@;g{8)U^k>>a*XH21ih7@b~$Xi|oX zE$DrUjBG9QIS)NZJ@ed}TA2RG|N7*frXzsimDA^i>4TIvvk! zjHo%-4~aW7#VhbG=eTm9mQrL~^mwd^OSGS%wCDZZsx0!(CH zc0z_ZK9{Gi=yM*0wIx@^pCZ1FC&%3(EUxN^j|@t?82oB);wuG}%{!ysqqLmTj7q{W zo$T(c<>t<6ZgPqEgQ|egZW^x*RwLW#O-24nhgF*}glrXerVl~m)zAih?&)jI1AHTP3 zrdHS%(==`VPnbKbE;i4)DPyVcyTZk@o$1w|qZiHgLy#x1%$Z2nRPg5e6%!3hKtDE1 z*h|luODLO{k7S4OXvb2)EWPE^%f>|%zGt@Y1ZVc|DV3E2L{cX@YVBd7cv-?YIZ*?|570(|!+&Nevizc3W+x+yfQv1REKdss`^cD1OM;;}G0#cW{=B%Bl z$)yP4EJ>A_a;0-4f`Ur@l-vnfZP)wLg_Tchcnd7Ng^OPs0<*$Tr4Z$=PrK z_3=!}@>;CJ*J!~*&QDqB6h30U$V*KSG0eQL0wFp;et6A%8X`Fdl-`g7I0xl2V%VYLLdg z4J2L|S8{VHWNOY=FRi`7VZMR-McDM)+Y5>=>sR@3;Eg9U_x3eHJ3k2>%|clOF)o!_ z1o+)x)6G%Ry!z4A0sJ-GySK;c7NHifi>YBQqQyacPf65M3JsF(J!6B`@Ty>%#j54_ zy4e*a6M@w!Vw65_k23z~ms%`~K#~h~O2>$|nliV1ET40lG++%SbWUVG2}s}^?yhKL zt_WDfD7MR^ty>w=I8?4EhEkt(0*d1lYvp- zaEh&YEpkmuBc-Yo%7HwKO&x5RL2&C@7M+Z}P$iBx{Igp1uM>hwJF(m3!7y7DS%=lL37fG6ML&R(MH#(bhYe z3dpKM7f9juAkwc0ZkjuOt!N4qtQ^XMJnf~?~6QA1%;a>Ekbk88{ zS83Cl?}JYL_5q-8@&rDYB0G+Vi-u6kjx>WZO+?f!+8JMDi`ZbuaKBAWJ6m}7nSKItI^+GCQx99@ zY&}5cziz>Zk?$mIl;c#QO>Ky{znTr z_u$U$XgJt!M^@ImEj4P)<+GwW>xB?+VrrQl zS3+k7PkT!>@8BYhV}|ShC^{gP5#r?4{(FlGGs`OiuecSGX3jjLa>9)o4dLE6*wN1Z z(@)2!@6~qBvf#5UA|2+6juA$rC6eRi6g)6O2fJvFptFtJa547IdmGNv^~L5*maGEq zN_1$1YrASFcmCu3_~AqU#>v$BCA2kcY=FqwS@pby(NL94o`n2pofe`p5Q}I_S~Z)< zy?mV%0vCznrr+C@djyO!KC4uaOW4Ia#i)w5_6pNddYnVqS?vKl^2-(k+rR2&FDJf zV}C3Fd+GcrG%+VHu9Q{TC$&*_Rplt9kA`cyRyWQ5xd+9{*0#ONZQon-DZtkZ0_>yCwc2eK9QdL#A~6-c{)hs7v(j>C;JEot&_@T*@@%FB4t7~AFG zVn)Y@I2G+>8V7F}_i?e7$=@aCvMH&yx%2ntJ%oWCqGzFFiT$u(o-t-dyV?x==+e(973JwU-kwG$1>&Jy z6fk^Ng{V@GR292+z+PuQ3fzkuY>Dfm$g@$F&Vh~_B(O?@F(N!}jcJgKRdg&Zix}Bxi9b>l0wCSqt542y&7!8|+=i z40V>(LlFM|#KL=sWC!cc%b_+TK1w(LY5}OIAz_nR?VuG~Guijlz73>7z_<;mj}6E9 zC}A+rNLitc;*jPmN8*DpC33|Nm0 zQk8URph)=u)GU*cK*H>c@P*>H9%D-sU_Hb?1&&Cv^h08ZYn6UgqYr z2FLV{^C7yjJ@Qu%MyZC1l={%TsV)vXJmp^ehX=6BwPy|-Qjy;T&kLI!1_z0fA{tol z(=?oOT#g5}hs_e7(-aR;ST=w14*5On&c60z&1$v9L!g;(&m4}--wQ;2f+skK3R1PJ z#!AX|o7!;Z-|FfpxH22W#hvE6U-|_`0EY)%4*r zm6G%<0}zD>a0!QF))k%30*mJrPobxitzMXHhG{*|7c66p-_p%8 z|KuWyYlD5V0$t-y`DYtR;V3N%nOE$$Xv+tL;*?(S|yLhuxd6fYLs zt+)gN0RkU#Kfm|AzUz6JjD1d8k!5UOg_3SYnkSNnl3~Kv-7%Y z{@8>2?+GCB9k>chb^nAq7M)jfg)t_Ndl|Y)0W3ym#O`ekCFbVTwk(`W0Z>jWWYbKsSk`%&;i}CvN5EYcmj*fRXp4hbYD?v-rI) zV9h`gX`=%*RZ~@8_WS4(L`YNWun0Rf3{@hLP)Vwb(c}+UduE@hXYH z!k2n%d?0lA5=4*rsh`>XEF-)oY3-W^KFa{YW3YN{@)OYgh2zCZkF8n#hUs54;@c^J z=lwWHqzSwh*!7fx9Ja9(E)S}023VX%M|5&$vmo|&lX_1I1h(8WKvqa<0e8~ zx}kZjypuXRA@yCQx$}~A#dnIs#T*<{&IXsIBPQ&4@;-|--|>B5bxJ&BWMi%)G?;lK zEGuLCtb&W6%-s7*x!Ij&TdD+Ux4S!Koh$c`y^3nYJNLytYFRTTozBt`1a7yM_ahbR zi8Ln@&7OLO0)YkNjj+ag z&cYCEl>m*GRGx|@IUJ~9h&b84ocQ=kM~>0tat=6qZi*bv^K&KeV!vsx0u zN$lhF#Z@B{v9r^2TY}eXZ{*w4w;R4@wI-M9^;Mp4Q4x*N2Ny+#4(sy=9tE6(wZpt) zvGHW*U^U%xYu*Z5s_7WPZ{&|os1Ac33=W2$ZLb6$>d07%oKW(?WINEf?#+^CoU}d7 zH8!0|r6C(E>w)DWI|oXGnL^X}8Ux?bL{GLnUA@V=zh?DbW?Fc;XZ(RRXObH)tFsFe zB$dPIS*O=2#@a7H&J0@>^l#Q_nnk^A9%?EtMGtaHP(+na9aJFv|6yV<;;FUUu~ZZF z?0-63++Dpxw;1;Exu?r8WmsqcS1$2HlWr^XTeNuQ_nOfVNv4i2h~;el=3sA{&xTuj zJN$&dF?4lT?5#l?cCm88sMDJfiTG{1K;`07tap(j&yTkBQjZZoVgFtqt1jA!7-u0?XdBPg7IIt-efVn{t`CrfK-U#cm-(Wb^k-! zY+JUHLTB4Ti3QG+c(u;IDOVt>){c5*69J@U@R&4kY?`eOl6Gc_I6`0#=~mgC?{cZz zL|^uXBcO;aI_nfgjJku9T`Ko;!pjybo6nz3F=m{vMCLWucLanZ%F;(ChN6jV+VAb1 zEhzOA)qrGZX~(iN>8sWHpD122H1S)6K-2@+CsFdh48&dTvuQXWl_T^h^9=f0QcboH zk;SzM;N8)z!Bi()Ptg}#JtDPsh!xj^vuTu+tsNgWhR}uNGfdI+bm#+5j5^?zYs=Sx zX&VV&Z&>%?L3r=Q@$;N>E1jI!(lo#)KT?bE@=s?k&)3%Y2msQvFq_w69}8H z?B1tNhcvh3_x>FBOG9>6jLe-L56y*HdoYzQ0Djo9^@!C%Ta>DX#bP^Ay)lDLb7l3@ z$1i`8uk=4MigvfRVLY}Z`K$BGM}$A4-q+*^Ih6L&k@;rEbx9>vD~WZHiMBB*pmA;c z{f#v06F7cv3rKh5ti=XVhd9)5OtN6 zC(t}T7OC#D2=_VJ9GtIs%<;Gh5$xXJp(wN)M8?G+v-VeHZdLOasQ^`abZ0NHi~BmP z;2J^3nwn}nOwAfwbAy9GCPF>(a(dQEW*Xv7vjGTcf=H2EP$R^qLOey$&v#aM?4X0i zp1G&)E&Tz0(s<1hK~wX^tV(bzgA$ris(VnmG4?}!Y*aL@8amhFN-fI!*9wKpA9jX= ztbF@>M&-sIjE=2%J2+kn`ZAC`QBB;vp^y+5*cl`o#r!1qxASaT-P7n_NH;YeMHE4e!7+>>u-j)2w?_a>N z0<)55*q8e3P<_Ub@tR&^4 zJt&x#`t`^CF}+lk>6NT|zZh$6mgE>JE9E66ymqUSrc;C{JYN`il~GX>g8wE;SDZg; zNXd~pVFwVUX+;r0_N&t8cNW)Pc{0@2c4X}h$4Q2}Fw%xhiM^l6C|VUrT^P0=)>j{V z32%!DX*=2V^6hT@W9$=vdrixQZ;bqIrk`^xQW!Po;>4t9)0&D>DS}da)iuaWaN0uw zcmzzSMv00_pGZx9mj1-j5#PzaEGv#(7{0-@IMNXpR*I0cMWY=xQm{k-v3^8>8+zZ# zg)&VPPvK?W{?7!lo_SizP+-ZmyY$OTQjJc&sI=RfqaOG>J$LfQBrWwz$no)_K6H21 zYhYsIGEffUYKnw{oaB5QdixAPc2_i0Sz09iP!aEgkHv}W?IaS>Z#I~W{C^~evaIE@ z<-1)vbLl9XNh)=6HmIZr+9dc z#xa*@{;AQabDXs{B+};$iVi_`?lKpT~rgMkrq|7eM*9(Kfvl{^$a+TtfNiH zc}FHDc&@o%f2*F2aRANdzL49GX!Kr0liaeMV3FZ?IENxHC>Xb&e|(i`vzVNv+E0&h zvtDW?iwIK10Aw)EO;*`kkMl8Gk%?!HR^uOYBT=z(yVg-t^)Ou|!MStr1 zm!*}}zv;U_AI-h2S@$VS*D_9Dkh~mf=|^^SXq4E0((I`P4SbDvRQk>9DJfDF29?fz zZ8FlNBAc_C}X`P+#+5j-LBg|7C9q)M3o^jg%YRJ;gt6e;e8_IV& zMQwnww@s04S=UWB0Sxp%)>0nLO)ksK;L+g`xY8z>q2?2Ce^n{_aTh*GBDMfr?36tJ z{Ueo#f3U7<+3GCfDKL&bK~ZFQ)ali?Rh>kXgbX6uxhgG0T;&l!9W|Uwu7|Y$C zqF|kn+75Y1Uub7zCzJjjG-tc|DJbZW3k^9@Dp&C?=s+*eX^ye?(py8m+s?W492Y6l z6zTO;?Gwj^@J9SBIZ`EiJrNmz$g;I8_1I(vp2O^Uf{YGIEU87~)}?wy?b;gQ+vDOubq_ zu34?5-j7K_O``3{P*(H6UPYPJc-M$C`|r|L8!Ma%e3Z^Xy{jr1TLG#~O&N{}O-v~W zwRAXN)wkFRDg!^f@89xF$tkP?b%4|<17rQvs1Se>(dNSs{dqKe$ zEmO(D!lY_##fwmNeiBSczm&S}==@=2v(WcvRPcAJy5zwvb~VLyeHO`Fb<}DRwI9Hu zO4Oki@oI)NMwcE`Mo>W}_=zW5&+qx0Lwk6vSw@3_*E%~_lMmplr~5v!Q||3+HcGLT z#NmHcF&;PRyKR%J7z5tJFHgc_;wl*kqjCV0=y`-5?@HA{rAv!mytnw zz9rwW?>otIJWVKB^(TDzdViA-?3PhdLL79TuE89wv^opv1%4$UxPfI^8{4TqxbK> zj^)@8PzSJaU1u+$BE$@;4UsGT+EhV7rp?A2mic1}xun)7n;48Cv_vz%Z$>LHr`%Mt$zNk z6v|_6aXy|@oCpflF(_Ak?JN)%lWE3ap?2AnivQ$Y^>8mkDjO?ya>+DXa63mTxWODi z@UT+TkClVO4&=6Ipy9bVC+W7$Ra~c`F~AWS98|~BTUaODXw5QWu(R)69(iV`bP%Bf z``XE;np#J0oK2%=6{Ouy-%qKhZ5(Z56o*Yt!c;}r%}P=d9@A);LY+NKfxXk8QYQ8m zs_Z4Y#opN#t+x|oph1XVvuZ|4zz|#~+qx5aD^xLQ$2^t%% zT)nh50*E2xs*$;VQASmH?{EZr6jK54awlIk?0S>{i|?OrnKo-BW->BLPHvT(E37N) zx%n0a`Rl}uP#oKAf<(_TtDG-M)JyE==K0J15Td6lmI%ciBiM!%mB^13S@g|jt zboGagU+n#9rs?m&DjdIqgi|%Lwf}coeX|6LowFplR(8ny#+V(=HI|UCfVu&rBbE=$i*(>Jg$5Z8DHU@#qXW6#X=4NXSwaG{zi{#3%&*=EFJksfhsDmKleazpnj<05`#0FY*)=j(KyWJgw$NMF_ zai8N;NBMV0pwb%%=|ufNoPLji)t8v^BG;(?NRhO%w~@`%CD-3f`7CKNzX7e1Mm;EC zlLCOrx^Rz0>v$QLGbTJJIG*_!g?nE#E%ROGLi+bs8^b2xM zTr%-&s3W7H-LRSIN5+HomjrkbxX%*;zf;YHrgdkU&&omKfB7qM&K-L7rYnBY*6dLZ9)*&;z# zK#GHh__;Hu%s~rR`Gb^w0YytC3=Lz%J>Ojl z#&j_%gJfy}NiGTL&EY{+iZq>m0+BjnU629@1sF8%G%4mD?ehHmej-CvCe|);l`*`N z?aZX_gCapKPnwPnIEX4;Rn!^&yJCFQh$=zNzLB0lr#L)DA72Tf%6u5~(Eqps>i%{^W{nSPIw+GaeyC!$@n7k z5>+UK-gTF5K7DzXO-oUhVz#?g$5*0I4f(EE6B4kB z^9L1!DCO$_)iW%lVr4yRsZZVuiF58acC&@_mkYxti#mj~yiEBqq>X1_xijn3M3}_SRb8iA$dd@fXwDFwjv~+UkeOQ531Xc?9Rfr1binEuJN`qEl zp!cjmwy$!+Om)enuyf_bbcg{QTw#CW`B%LlLA)pfd-xrfs{N+}_osMKayhHDRKQx#nTTjmr9VeynWsv3p1^^~5~?|L7MoIT%} zqTL!!sy_4YCs^~c_&F=CvfiTJ!)u_GqeMx)Jzjr$F;y|p89z5wXmv4~5DHgmKEJHh zb9N9~it_F}8QGh11E}*br9K|Cn!G}h1gh1|!%ly~H$v4JmncVWC9xo}i^Y6$sRg?~ z)`6sHZXRv$8!(PN+Gvj;61-6`sQJ_hGz)o{VUF7}G<3W_N!j>>Ljmjh$)9#Ev_XWg ze0hn|r%6+$Tb6^m{iyVEmsyJ{=bJ0sj+DdD%Q~^9H!uo&Fv^(#0#@_I6Ekp*DWO)I z8rC2xv>v}wD0+1y_a$G|jjimMQnW$&J$OS`fp~Ov{oZ{opxD=JXQ_wb-*5VuiKoxS zAbzA~lCy?eXI^`%u~_lT+wx4mV2&p7 zXlITAKUMwBXB+j5HXu6|X3p1Dx78S%sgbezsR=1$L^{kKC=ct%7q{gf&&qm~uIMO~ zRB~P6+@?2gbqtKmd&Ru3bD~JI81H;3g?q()T5Lgj3B(-DH42Yz+q`OyX*k)IlKFN) z%Ln*}^0o})*f5T=(NXaihg@qTRJ8bpd9T^mtD=XUG3Kj+BV6HoFDw4dG2hqznu>>V zGVLzuLN@5-IaM8v9a=LUL();8;(g1{Y>{p5__hTN0A z!^JCK9kVVqLmA@R9x=#6J`&#j`i~Rn74UAbH`KYk{^KRW_|Mp;Kk{dSgr0YIOeQ7Z zSB37k{X6C}hT21#RRfBv6I z!GL6VQGZ8Elb+Ir#eAcoyMubHAIH;O04#|YQIjd`zAM{oWCdH#@cxun4DKBUo8BQh zbFQSSoWu1iHuizX1?_FaR{?L$jI}jo@)czn@17*jWqqp3&JU(F{{Hxc`wp9>2DyO#d%={YrSR+{y%U2`vh~(Gw;{-5B0C zbSl3-Jf|Uu{SFL2;l1lLrmX;I7Bws;S{0>6CwaJdN6eNv*COjFU3v zbWXI4(Z5T%ZW!-cx6BI;`1WiA-l^5!%@Ju=#>7|IvwD`DZG9ej(OxOde99z{9a&@7 zF8j1&S0eX-cuF|>k6J1(a6kAhm$!Da7(2De-E5(}S;6#(t(ic1rZxROF7p?7y3uj! z<0U-VFGizWD8H)FUfDGt+Jua)-i;0F0dx})nfEa4<(1p<;?t^0HfN+=B35cBqzYpk zYOhu?4bhG;TEQeP>AiC(+8-3Fv?JY+~VZ$qg6NbK(kO!1s%YR5_j zR^Kt{0x_fE9!||=XL_(sQOs6n%{c3 z2B!|Mm`~AkMrZ?$ybYpizKfYF1?Mp4_LK=P^OyJzL zd{o$zI{o;v%8LrO?hdn-k;SDQl;0T+A3iv|KFam_dUFL#ia)kjx3ciWOP{%$)lJ#x zyT^`;B%Dq}R(EXlLtCVXVWU8;02GnmEW%eIMU@;(e@F0luCwKFJn$A0J|pdlg@aoK z7z5)N6vtx$FTm_bTV-4!y@mBt?wIga7$+pAe>J^>H46BjrRD})c-B8f)`v&;?;7se zB%%vbkfBfceXSg|%ixnex|0d^$HnZ0Am}Ib{XNUQEgAvS=ec$qoO&GnUYd_xn1-ZD z4|8i=Li{s7{wEdy!e1NL|Hp9R!ALG}i=RT!GQNcoc~I6uGJl@udVL&RvKPDH?AI&( zf~4V=?FyWo4&YYqo^F*3{T*Ey{Gb?L_9}kJr^7S{Cf`LfkEx%JrCLf#cK1 z^Iau42uDL`NwTnjZM#pu1p?Dw_18n6w9Z>sNsm%Wd#@ACknSTLSox0FOY(BgYI?Se zavc*HnnJn_ktb;~m(yOW zraslAD%lr9G3hVaxeQtTeZz&_622`jNky}bEN${!Xh`mQG8@&-xkr&FRKC&lL+*SE?mdRoM!) z%m#Gaa?jmmWkysyF0qNL6))41bc+-H59b`oK)1bB+-T2gDPFSzEUrT z66zh5go}KtWmN^E^YiLfI9g+Zf|}7quVlLQS30l&?j)CczC3nc&9t83MM;YuGy`m> z4NwoUuTEML&vR&>;d={aUnp;mQ^fwbe-2ezYh6d}`$>(6E8$oAq2KN5GHA63s%7VD z^$5+E1V1v?UIN%R+XUvfB?e1fAyt%PDav+F^#Exa>6(%sHt8!tT0Kv7;1xP1N}E-n z)->^p($iP+=89VGm#=FpmSSr`QG?dYm4ZgaVVo}8=?6=NetlmxhONvHC)QHIWnw{8 zwxe203mEx8mgCP=$NB6=~`iJXWDc|1}nnB#3xC7`gWf~K;ulDW~ie})rOTllH!n2;8twRr!N95 zVk?lvie4!;6sMr|qLFu%pd6d6dvddkipz~dAc~bZ3LOMF&)E`{gThz`Cx0J;`0b*hh79mtm49%*B^e^EHP#atU5gxqg~mHkvx;? z-(yyz{^jC2QStLkoI`vf68^$u9hKY!EUht$QkmI?hw_>9t;}^ll<`O=A`x z3c&HDsW2#5!3?Sp=cs!MNBmReU7#%OiyD9&_B>Z|^FCNzf5_EpovPBs*hjecVhkk6 z26E6@?w2XrOn*F3)+baV+Yq)>%&TVO9yvwo-gszYC-IH~BnrJ{MQbTqV-e65XV_?L z;Wj>mMmh?C`XrubkuK(;?s`m9@MCXCUnDlRCf{_)94_V0=n(7+3( zbjHVfs(7LfF@wYhu@8A6Ok+|-2VzC$?w=X9H6q%gidzH|s4uo17D@fY-6RvGum~?rBl&8p87^~eGKa4+8G42U z|Beb`_Jvg;=yepjk?%vP36rlfWo)(zK{`m78evOT4JAWw90!>e+JPZ%9nqEX)hx%Z z13WcwV9eQuZf5bxX*y?jS_+mEyuyYX$S=NirQ#$g>fQ{$KI$`Ard=!XhjH&5dZG?yGEJzaM7DHX%ywNMX`f|`+DZnct@U&z zLPs`5sD#!Gi_)SUSC55axtqttB7>=CO1G8S&t1V(LN5S8+RJaBcs3-tZuK@%{%#GO z9SYE(8E119n=cNkCSJBz_bXkUpE`xFB5zcjSAe2GSmH|}O8@FOQ?s`rC}~fjFY4)R zEf){{ZRmus=^xu3N%j9#viPC7GuP9p2&`~xFGB9AYk@}}B(w|WruVbxw>`uUS~*14 zE3rns3l%nN*#qYt@H-va+{a5A8KoX)3)Jdex;EhwvLmJFv0dR@CgU~IPl{$*e2QHu z1|d5aIrIMOpMq*szi2Ff$$Yie-ZNfJ#l-c-e};pdoUU0YHb|jg8+Ikr4^%83L1~+% zx`l~C`6S_ogN4@wbFFK>A=n#@xI9oeOjo(eIiB5hD?)0opj}V|AjJ@ekj`L}2bZUB zuN8p28NKWb3-A?Wf}nsrHSu6tF2cg4*_5%L}^IdRXM2 zE$cDlef+Yv)TbyY`r=(MK&a0i^L29-4IXb*amQ;wQc)_>v%ILFNoyN znA?oLwt-V|ir6~}F85YzQ9#_3(N3q)_)8bVK6_HJCsE?7 zUCxyS6$Y{kB^=;3U+sE|0MIr8Jz4EOQ_>d!xzMMWpR&8V?dV^sLtg9?HYB1;7G_qx@Bb2fs9qj_9o(YAw-!_!|K6DfoQs zQTjc>8j>bC5X{G@NGHo6XBNQtIGjhR>eKHrJ)oj4?^AM4dg`^12*-KmPTQ`E{V%5E z=**hU*R-@+IFhK)svTh=qUmG@_}fau86{nFjw^DuX7-x` zUy6ob?AbMuIyBFQ2=0EClmV+neAq8>z-5hwS8Ax%@;uYwp%TScWF*XOg& zDx1tMCLtXibZ9)PYD1`BFPe8Y~}xg$M2dt>*FDa>9lc-wzIm7?y6(Dt9pI#aJD zf{nf-^~UPh)$x<)dj386h>%GY?>P8yfKVUNpUhg@JC&zsgQ^&-yAqX@(LNk3`_5I- ze=7_>+Ohu~Xc5rm;t}6M_Vhv=fZwK5O+KEsve}NXqii=^#h5;<1=3!PR*4YS-)Q$A zub#|5zE_xzdJ>`arK{la5>0xS?)eq=r*@$Nnj3W$<74r&Ort{2%`@Q9sqjIOjsiWa ziYBz8_~@n7ZS@s~`@dE$e{RY)x`MMgSAASb+>qPxruzEv?X$|vylqjLZ|}aU%;UBjd~))HcVXC>{!()%U@v5PMD#5bdad5d($4>sAtZ9CjA z`Vep8Zw;WIWl{=#90R@m4XJP1XT)aPAB6_!GjC*j@h8g=4Dv>?=!ttpk-TFqYb`W% zFb~ll=_3`mgZ{O+sw!HZkW?q`5xONlKWYDhfZe?MaM64YiGA?NeEb5GPjFU~d&)Nx z^!nDG`luh&{!np!ZLB3OhK8;-^Yz}d6)!*Y3g@+FgLJEGAoCXF>rg#^xZ0BF@?-V0 zTPPp(i&?k4es`UWYTwrBvc!i&&ArKpxW?4Yh|=l*96NgKyIVcOEA0e>C9)m zf4eDVWkW~QbA!~w)5C=lis5%ld)nF7L zzHe}Ppls0L_%B^_;wZ&k4<6wTmGB{0SF(~w@0=rbnAk#IAHPHPI=&~2vHhpVOjetZ z*?mdJRak5QZ;=P3{nz(MSOer7R8W@1zq5I*RsXNZrH|Jp`$NMNs@X?KyJe2K zpCA5LtdDh7?S%8;(!_ihrpPEb)^*H*Xy>uS>qaRF-JufOD6I;M&(d>#qk*%-G1Xa} zc+26-uky6TL{!e-FQOt$#K;cmsg8T zNO?a_Yd2G4JsgbM>-WoXM|J}CBqe;e=BWZCQ9h;^Jsj85DzwoA`!kueD6adN1X_jY z3)`M4@e(fGLt#M6&LnZ_YRN`Gr{@qZ$@A(&t#%ZDAS2i}S-AQ!L6_zA)2}G;FWwp1 zB3%I;FVK&6o}>OYF9zuBO(woTb`N-pQ-sRMd{!>$-s5=IQpZAL+P~Xz;^xMQo=K^9 ztKa)Ytt}sDr^I|U$p=@a4{T8$XZ&IpB6_mXvG+)z(JO@kY)w*gloF@$AqBrp@a2`) zQlYk<+zKi8rOX70|KI@$`rEEJl!eB2q=m_czG|ofB3xoWPkw$55%nwi>Y77^5G)OP zHXZxou-lA9<~)C36=JnZkXcCD^khn0h$Z7IkM20whi z6W(G2-Rji>g^~T$LUYt-tMA3Z9F$Idr(R@K*Il`rXY7(4d#9zZhVaP*N3b#3sk?Ux9<<7l1|_9Sxkdcr@GFWEPTxD zq{S`|Anx-#NzblWFHaQSd%w8QJ>N3cA^p!9{tw65_Ne4P5p%P`d%s|uq`}!IWdM!R zXn@bop$0*Gzj&q&8+7}R@!C{TM3BY2uM=u{L})MO66%G{nRPV{nZ6obRyI22OgU8R zORlm!`r;0{6aX<+!7Iju%W53LX3XApTZ(b z-^L&X7b>Jm?=Qrq!_QL(>HAcMLXA10Xs4+Z>XI$}jqzQvSo`r2j!QpuSR>W6W8sE$ z23KRXqU&JQkl@p%>4@K4+=W)Un7M19L;?Guzu0kpopxf0gFL&CmnSJ`;miosn!CwW zz4~|itor;@+drN+JP)N};hb)pEjPiM6oooSq;QVt1mHTx#VimBANvKGIFy4B`k$2W z654UPh;g5LNp62s?=rI2-O8A%K~|}_EPWr{h;U-(80iZ>`hNixIw@uYe$D7-u)+Dt z)z~QJLzVs2v^iOgM@z93MB4BF+P(db(#Wb@Ty+=0rUITcGDYZ+F(HZ@d~NE6TKrCU z&zG2J@eMnDs_py6U|sllRyEe6-K*0rDBd<1LZWVw3_U*`-0@#lDDA^NX&J&=`(E5E zz!P+{<<3t!E=)}JP?3^qE;Vn2iCt4^f1tQ09Pxg(W6*!Xi$^{yHBZ?K_sV0z(5TDZ zbh_c0?c;gG8M#EHlw=rp0!MVH$*)iwiDsVN+Ag%YB+d(>t7ebY!?9|eU0q&}Rg$NI zpdM{os226zS`(Lw@)A#3Kl>Bv$&074!GfbW*PmQf8WWXA?SZ)XT}aqk5D>v^!PG(4 z{0MhzdygI)&M^<$(gPzjOqxRe$i-}Q;cljYVR3Xr++~qXhMUH^$uNiORFgGJ z15(sRg-~|L0lphnUC!AH4^ioF{9$Rg**aMruEs#CsX54==)^wOSr)ezJ7_dJvl-Bp z;6SP(^KF74)ZAeT-|qOSGyDufT+qA|(~O2^`*N;#GJTUv4Eo(&`Mb|h+4~P<^L%P6 zr)zF?-Nv2A=QlHU6j3#;>DS7XzPCoNQ(&H?@U*KAO?QBno| zFqCaGvdf`sE8|@2Gkd-qQo29m0Mc)SEWHg);af7p7dv}~410&#J(4Ewj?IYGwk=Xc$3v9WyA2$G2xwl^|7t4NvZ@^grZc(1{XnI$d+_FD@Ou-a9!zy zFGXT9i5Q~N-GI%#h&=kR*amCaz6BWZKqpC4jl=88AD4q{rWdgC`?;XQ^_z0RoQZJb zd57Fr@YoyU=!&cEj|`#$vTjzKreEdhzsS+ctexT1!@{876h;M*e?;nSp5SX4YnF%; z(a{c-sBXEH_r)d%2;O+DDhdvi%3_9-Mft8ihzT_#kGX>_CRUD(Ln#oOkV zW51GtfC>Ot%LGeiNuZ1jz2N55p*1GMTXu_GN>P-SpMjC+Ts0p zt;AVsVWLlrbniw+e?}tWyzP0bV(EeJh!+5aqN~k4q7NrA681AnJ?tzw30iN;pxkq~ z;AP7fVYAIX=L<@`}Y`SM0B<_bbT;2YTmRWA7XJ6!U0Eb!gXk zZf?|1!d^mZ(gY zLC8~ZtzvTM9x3^qQm`%gc9t7(H*Sv}wI0t)C>%&W_ghJLXL5lx=v!_mj7*Ez8;|y3 zIQ^Tt(a4(a!3Y9~fb9|LYwDBSa8k&5?>bC{ucWERudHga1t4&taF!|0e4(%@a3V1| zQjvDh_}160d@bD{9VR*6B7j8DSfACyw97|*g z)opb$^xIO6r&0o~t!+_$wyxD?UK)U4oC&1s)8$a@HAMyVM?Eh!4HuJKi>eIEfM!Ot1 zzjvLk`ya*ESM;;`pYlj`?ITwGmC>!Fg-9s%@dxMz_y5wAH*E~~{rLPQV_(!>D|=dP z9qPz3(&3RZ!S1Ur;kn&S|Cd{I17})JFJJh4cKaIFKbT1OR5E?IRjUx;!`nsd-Zx(* zL1R04q>aybV{crL45RiFhb5glY}XWit12xovREaSlpFPGrq_dKzuQe3#|&uAQ(f&u zUwDH6als@Db3V%+p`AtKS9%vio4ud?=g;So#rKqt`zz;j^*4*1)=0U{4+|WQPt*qD zdF*aP9Zbhewu7Ot!`>^u?(47o3VP`e%`GAE6ug?81}Z$D?_tr?R9Am5uTZIT>A{mO zBVo%Nn>px^Z>=^Y?2v`o6zQ zbBoS3;QyuzEA1WP8R)6{IeggfP{pRPapP)%R)aUzclwG9{|q~5%YC&|dOYoTu@#)7 zXO-0NR!W>4=G8a-gO=A;OX@chtc;xJXNfI^EbO(nw1k(#>B5+|AmbO`DzMRDr||}* zi;ZNOu<>lg7C~E!aHYLW#-ZqS3i!yGb4l^{E;(4a;qLi<;MmaWi5bHeyQ%-8+Y_)Q zLq#*R&miO$t6HN=U+P?(9d>A@EW4%-d!)ym&b+Y$Gd6Qd3MRSEj*L*}`CsM>o*%HJ z(8ulhuw50c4NRo?yxd8H!k0=%_c|g@I4Nev@{+eK-PZay{WwrADJNs{l^?Ei3jOR2 zwpat8?Uc{dzz);ZQI=(t6sVfv3V`uQ!#K2^WC3V>y&m2$0d=ohu1&P(f5)bJ>(!p} zYt391P=OBH+$Uq*jp4DfIZRFGhZQJZy#G^KcedmK?~KZ~SMRl4e}ZEpE&}pc-@&p-J0TVRr|^jX z&3{+9^$d;rlQv*WmBUH9mjSRyeiur|GZvk#?}0XWp!DX zEqy*w(q)a3%9seveD>LRcOi?Q6k;NfQ5(T@jb{v3nFf4{gswFG+Jil{wUL7k*JDa- z`@XOzY}`kJteqEyee%KpP-;NxE+dyUp@;)Qby^ql9&0>OvAzz+0orpY-CjC z-?lu151A31-;@7P)Hv-%YHN%++$$Ri7x1X~utr78)!~B&gdgSKS&8 zR^@K1e+oxxCea48wUh&IN+Afg>Z&H%xsNmyg5iIBpvD827ha7Q@BfRYKQyW;5{?|y zH!@58EWa>%4hdW@169M`*^n#?nYg$cvs%4qX4&b zYO*-mQpZ}eU zY}%N8o*^5X4s?<>SHrV%!7mZcd)A!Dbgcz}$F{+QXMUQ`rdwOU6AdbV(|O7VywiU!%9J(*G~ zlVU6TYjx(d{m)~9>l`KGqq;F-sm09g z{Ml$HIPD!ulHETHO^pUrTTrGc$>ipy>vAu@D-H`)a_cm4^|o6H^XLp8%(z+%Y57Np zrmXJhUOIg0gK4v`hWm*YnrmAkPWZx~>)C|p@_UU35?vbm*i%lH))6-G9RuWbrv8u8;kZC_e%jWJzizac zwXvliOxwxo-}r^CstpYDwcCM*Xld9F1SJI5T@GjP$-PWn-5AN2E~u<#B?SzfUUC5AEoTqy`AArR#_#rzw|J%+9wb?fZ zoF7{G8f-E;z!8eM(1etI*9oxKaO>y?%WVR+4-Mq_Q37EWcUfvR__Hx zYSDz4#M>zKt9)0m*o_zShpP3)svdn^jqQf%KnO?S@=4ZV+taSWP^~$SW2%GHlj>!3 z7%2ZbR=Hx@;>>ID2!I2lVml-gG--Rd(=rcta<&Dat5F1CKTsWwaIip&gC5s9n}2(J zvr<`z+u;}-=*F_1&!A5p=6iV=v=i)HZ9mv&$@Ip@PKxXCwQEHGAcph(X;s1_l%|6S zV7=@G*zW9lGc#MII^C1*l#Dhzx<*-4^4xr*{H^%^5qOM)Tpii08`YTe2!{9=p$!?f zE{Z8l+$AVRH=!V7j$@v48wt*v->O5qZOi&9LL9n z9X7BAgP`^L!)Bb5C44NVdfP7FtFCXTpW`vaLOSR(+{(NQOLa3^9awRSf3;e`X`xdqZVa* z&B^ln{MI2PHTmt!Sm}oQ;KbmdB}tE6@J?Iho{tYKeub@7eqCiActBsnI^|Tkrdx zPn~*B4lx~KLoGU#2Az;KI=60DnTpk2PGhlL7PLlO;M>&jWoUQhl^<#!JGVj(xl*`x z#4`l4_Qb>d!&HkRuz03;I9+1{DePZ{xee%dE2YL~2Rxn6xPtRlv`Bh05(Vx}ia9AN zl7aL@3=1JKR6{mk~yRMBQRqXJS0e`d+Ed!U;d|>aoKe$6h zMlb^RYM@{!QA4Qf`n#PN7%A`mr1{!ZrEC1rt{0=@AW|&F8bE_Y5cP;u@Ay5A=F0`R z4Pt&IPRA(OdE(>B`MBnTHcqoOID+eTM40#6Gw5T%=|RB;W1DxAaR04JrzTe$6gpe!opj|ezR%Q|H(gAjsoBunOzpS$*7=IH z5X6%rs5y}SPXZVyL*yu~q1~6ncKY?Z_ubFGbYtP^A}&bHNqy!LH!~{^ebmB~)t;1d z6!m1Qsm|QviSOeI*!*3X2O@?!JuEa+l$iS1#%_|kXN%5z^Q4AywO7Eo4EO?0V6$pl zi^}<6_3Kya9&D=UT9}0y*=(mQ9?2b|C&!pG&K#Z{6dT|}MT$#j&Y&SdB%J*e@56 z%8#Faym54t7e7wmC~X3D%hFxVo|f>4&6uCuXBq@e4D-$Mrvf6BmH-e7pGv+FH> zv~nr}r^qLFRo-%OKn{F5Zaxx(&jp6eukKE`bt#d9*wFhLyO|u9T?^~)F)SmH@2QKI+>}?a%E#7+tt*f?z@4B?&H> z-hc8k`f(7ykZ9MgGy68SBH}@Mu*UnS7ymL%uk|zPKD0lgDkKMQ%^nUolqdJs zhryrId_Qh%3L(xO&}8nhidbHp*jqFbKI{h}^gJ2vpgpz_301fPb$kA90DGTOI*z)1 zNU%3e36eq{SD3>?1l1*oY|q+ejc~`yNUo(ul2zU#`>k=p)>6ZG|9+mhoEQo}*|59E zQFutau$!DbYdOq)_qt3-^xbURM)SKQR{*eAe{!kl@Nv+F%K;>rGDA5sUy-(W@!#aS zcZFn~_eOT?2TQUcrP+_YW67v9=FdL8EDlbWNZ=6m{uk0|X{bIw>*HkaJcl=P`AF1p z+y?ySf#cXmM0%tc7XUDG7xLjg`s!I&BM%A?;DC1`CN7ooeD{FPoGi5v^RIsqAx)W#`vXq@Il<}Nw#GuL|Ez6hAM z8}~^gGjjaC-;ZyH#&brDV60roejZkZm-q;CKwi=R>i)e;rYwnU-Oft`ASQrs9O{6o-*Jc>n(ONgsHOp;H-~|p(>6jH}85aye zb(;I?*Z8W3FBDG_8^siD<8QnC zqbJYx^x@5fklm??>P@#-GF9N==~NIeq^uc~8_gMn0Ttc>=rz(ia;<*tdbL%ymg^y}ilC-5Y4vNEO?RSU-{d zanjgoRrF96kKO!#!vs%LD}=@o2iZE7HCDP^~34L-EK&CG~OQ{C054bf9>?4zIl zVzV>@Zxk7H?a27N^MtPr+Aq%Nq>d`yJ|dxX3`73p-u&IKs+rLcs`mbX5{OTq_fXP9 z6cvrUd`SP%Gg1Bw$!^=@GHv+%;kM|}oyjvA_SFQP@m@v)7jtAthsPNDN9X<0NjOWQ zdzI@gl})a^N-As9G7;Ltp|7>O!&|-e8cCEaPwfcdcC;%`-^L=lY5V8yy!R(M#F7&B zW|fCt%X!7<)F-+8)|yF9Q-0A`)idttx~;v7uFJ zf*0X3>bv}DnD8(B+QZK`B7O&)rtBLUAm;_RHnpi5nx=3s!~pEizO(m4r9A}bW_a43 z)$`Be1csGT6dt`+_na~hidx&4FHkj>d-3SI)!Ww2GEakEcuGk1{Gw;nI6)Tkbv{lU zJ@`ApEm(YF=xW$qG%*tb?41?)=5(LcQ$u@m%Evf2$tF!2BA{Z zvFgk3-JR3U%=z?U$ecYM5Ejg8`N+=T+&#jv39}wYD^C(myEO?<<;B#LuLt48(@J}p ze?lhWG4`FE!bAdzgnxGQ-bsJk*B=8p^SI_XvSTnWe%F4vr8kWIAcbIS3%tKINC`?E zU-dY9uS!^J&FEe7Do@EL`xF&H#Z$#5`dC1z=HfPU<}6l8rlht3ckqKmKW?$%wXxH}y}GuR4Oa4Jrg< zTi|!U@q0{v%ZoR=4)L6O<1iCg8juG$8S&JIQ#5cjUG8PJ>~_{c805vsy~zu^e6g5= zfTY^LxlAYNFfnhFQSs1}o*d^m?2Muy%#7K~b%{ua3m*@moR5ofhe3=cWUJb|Z{b)r z;4zbg+|Jg%G7u|8WZ-`uOk+xBHz*=)B|!hMY5hBLVWB$ylu&tO`*{ByD$(7c<2>s{ zm^+TeU489lY!(`Uypkt|yf=UOx?GHJuv#Hl6;k6H+MGCeS})iCjNP5w^%MX-ofTmb zCLip}nHeu`@>>mz>qXz(oYPU919RWUpDkx_g^{*cY`UX-t^ZH2+k%F!)td?%vUC}_ z{&$(b;K3bTD@jIYDr47Zk{IAczkjPG(@Ta!;;X*o-}+^H`+BdSi&koSf4}$dd-V~n zvv<{y`1|iZ7xrjBedqVf_O?T=<>K7q{2AzKC=vHlm-KY?O7_pk{mWVSmnZITzt>-& z8$722rP>$2MJjmH7dmg`1#1`}9dx zY5l5ITBLP}&F*jB=}#?mK3o8`-(F2CniKfa@JpRuQi>N+KZ5MVS#NA2`9|NIV~Ude zq&(Z-MVu1JM{-P6sN739es#-9=uzc#iPyK@?q+q13&ZC5D`cyeS|2J+xEXcn zZKGq|RkkXdr6rokpM5=i#E}rzjaWZrYHjY~M+T>g-_PgQF%WHL9 z*ngT7{~Fd;aPD==GZCk$;;y42!(=6)GobC^?+vn^!DT!bF_%%JhD`mdD zgKHoCRah6>=*LJEz0tgFfxHyKM7!!X_{%15TAXbjUl{MRuna_}CZc~vK_&G}G z#-l}a*c)JD+?uJUU*zxhKPRBKr{382acmuq_dm z+Fd>tk`jc(;9z>)slfese6`MrOt2cOUXAfy>)1t)2+uP_)E$1)olINh3ZtB}x=hbk zj{IvCES!e=OiWH$2Si9CRGdnL+}*>Mkk|$4{suD2M9pHgF1j zxnw)`$^|yHCq@wjx#N(~);{G4mbY74DUf{N=*a1FN@Z^4Zq* z@}Q6Qiq8tw_RMGLv>ubU|GL3%g{7$ul&W=l_qCV>oS>WS0}a(Am$ti3ZY}?^wdQcW z007ihw|7`lRUAG2#S9`cB6D0}H$XqtlldtlL*p>rgO|f2ogd@Pn8w#9jK-E2x~4i+ zk;^~d|8G@=#fDGqZ`aV2=CWEQZB%o_(-PgAJ=ZoqO8L1Xji}_k$m1Is9+174q0`yr8~UHqP*+DL_-Nge8$0B-|AFV{ zNJ_H*`Ez?AIBuQRgw-p&Xx-a>t=7Ww>F`(u7N&CJ4m-9BCm-ccC*O|S&}CSIU58l$ z#7`Ll!s`VXs}AuULy zCtvi36MI|n0Su{@@eW!R5%>WhCt~%eYaa8xo1Cez9^NdUlegE_KsX=0I+TTpDUXoI zur9~?M!0gbl#n4?yp&E4>7M+A@U#tn!amt-7eXYooOWhKH2mC)>u0uB z){Zt?7}Zk{M65}W)PdvGOdwr^Qqv#gh#Xbf=Msrf{3^azxRs`d zhOSRM=?`u86%flj(AiI%=djJiH(lCW;l6Q|@kcMhVv5aBN_T5ENjorh+)?jV_(qRf z`)L#j<8JQ^bq*w<#y@MVTW^(>>07*vfU7e^w!{T79#JXk|FtEr(V7$`u z_wOw>t#j%H9QtN(vpN&AS#i5*y$I07QrTg(Z3Z3mux2L>qzzD zTyMia&rgx$uy$%%fAgdY7stQ3_GFpa;=!E>RDz#`M950^YrDFoc+kpmQ)FmU{+KHECpCTO7lUK~O36RG5yUplQ?+2Gn3DaG{?5Ix9~&7p*)Ck`}AIHaoT17h)l{hn3>qU}mU` z7kjt$Z1^L8P&QxomIk!0Li-cO#UxF_h+OH*y&4G5pG+1`-@o1spM5zGN)Sih;ww(# z@W5zD=nTJPZU5LDX2Nz-;@&bE|83^oYZ(r&EbMAUOITj=B*x~M`#tb=F4WB}#%)fo zC-Mzxt9=PkjU+Z0wzFuVmN1(e-=&sX?-*~}s1^|k+CUnyEQ!i}#}=xL6e&UM?6&sQ ztMKZGx^Zi>^9Av$A#5NgrxXCyguRUcI&@eDK2O9P@|k&XumAX1soJ!~InKW)KTs_!El9$68QDg9XvY~YUM&b}Ka5~xbgK3pQ>g@Csi*u!P{v*eBvm4A$% z5;?S3^oFLs`S6bRO=Y)OTWlE1=Ui7@rD;|2MMidkuc(VJ7yL{@z+#Kisr|Gl|D=i6 zW~C>;=kc3*;hDfF;t+rSz>j;5IYKDvt7iowd0 zPE@&y%V7%qD;E^5T6GlYNk>QO7@#iHHyFktC9YyCFP1r5MGg}uU@>9+(rjp@s;InoRBm3H=2k!Qh{*jVtP<|l>=&`w z&86s#Secb1M~}K;-lVp9uk)1pC~j>PNvgm4=Mth3yKe_mhzeHzKHtpnO6FDHhMGS@GPUn4#$&vH?!%0*v#U))77R1ry zmCF0UOiW@-3Jkr^>@}LceeZbA<5`qucfYlC2of4v$@|Vm@p=Y;9O|F0o$BM0?6#05 zzHMkr9UmWdo4&nxbr~(lwAjwPj%#mak3jg4hDd97>wp{8P7oLEYB_-V&nBLIJ1O^X z1*93s@Y`UNF2T^n!jYYvaSzagT_8|$`EDe712sfOE76p8=wq^#r`5Mxs4jgcN+$r1 zm%(3b-a)Smc^>3fg)En2dR_(~XZLd21?3w1b_6x(r48NgcZJ7|`{yiow&d^M-!~2Y zC>V(II~#|)H2C6g9$jKEPzq2E`W>%cJn)| zcY?Gw5(^xrbA zYXw1mR)r;sK)1LLnTPFLfUAIA>(x^&OB3RwN6@#Ji!Z&f4^O2g)ObBHA^!c}j(zFv zUy;VIx+H&vxdil}f4S!FdVH0(w;0c_+9X^>jOn?yV;V2|xbRaLSvE5*?WkuzV_ib? znu`h$4Z+3MivTqiBs_xx`h4~+=b1D=;#Z?uRi#JilGo}dwKK_vd%erY2CFHl;C=fV z+yxmdaSzrc#%iccedckF8|r1Eq>`Y$3ZzNfPmK0;_VG)mNxJXJ?9&t{@rPYjTGt&F z>vM4uo_}cpydL|-?wa!N_Mx9JLXUdjk-*w;y^D^&YRKo3O1FF<_NqK`ngjdBO*jld z)L-#|RawIKHPy7!#<#y>*kam?*E}Pymkj&%F4LB+@!(cNqkLcxk@Hz3TB$+~!##ZC zxGH-@XeLtr*S$kWTps^W2((W0U%AE41d5ldz#$(+{EAlJu?Jk-@wdWq-{%)wvmGBp z9SR-+{S}*DQT-VVdV}E|HA1Oi@RQ>)-0dGT(7HHHZI<_y_@5 ze^u1omj-<{<`<4QLQ$E?^npzF7q%wO-+ljXq}lB|^Tf~w#K{2v8K4njIk|$efx#$6 z)5V(!KP@&pl5yG@?i(H&PwounT}E;KpmHyJcJbdXRCar-UDOTi?~=*D4$^@?;qFuL z?CZ=P@a*^-?LIf6v6~l7cNBikEE~#7y=q`@7vO(xj+q96gO7Hm;NE!|$7nz0;vXUF zBmQm2bn#7$gLCWpz7f0-V2Z!F2YcQh46EBqq=NSaPfPMJrMzWq=2OwvvC+>RMQX<2 zxksnp(u@z%@Y}utJ^KYE+0zN!xwokqM1M3WyL)S$WAv~~4$lTtruKhBVy(|&S$IIi5MW2E#gJX~08%L6B}NKSjWKFb8cQ*lOx93mY) zSbuq4zK2pzuKCxxXUVQCZM)ZtQ4%tmHTwPV3i18N?__;@`&Ah?7K{Wq z-FGp2XY&C`jP{p6^RUTGpyR02&`9gNZmh1Sl7KMs~S-!yaCl&H1+7ONu_ z{c%P}eBx}XB-jo+I(5$!2=*_mGG1bm^;?=Au~1YI9E7$PUM4xc9DnUZ&k4Lhb-JYP z_feMZF{92bMN(V^l$fHOrY9}b_ELzqGpoSyN?$FO^nA8%z_`d}G18R@aTZe0r62Op zM>>)KUjNO(J(L-i@wy{V+yXx}Cs_?H2adiU?fQ;(CGckrE#5g4H?R z=!h%d4bDr1VLW9i(yueZEn6n!pw42#AzFU@}~WqsE}_$zP_gX zQIIlY1-QlCAb|S!)nD!%hYJESCh`w{Xl% zUu&T$!18Aq>X(AaXoQBdgsMK;Eb%%?`g+}7BQckJ5Hwwr*8@P>Fp<@qC9oxh>O6m} zR#x!dP?V08kFDLPltR%=ufL%p^xULV;Op<%*d=}yszG+mE~dv2ZVe23?2055Or?kk zX(GeA--pIGZVTIk-^FuR2cF2M1#{fb@D#6F#5wIKx@F&a;X4>RNr7TBL2kSGSR*>> zMQ0nNY~b+@0)wrVtFgp2qYTm2H)ZvX4eSZzfobuEzhuVhMv{ehQ(4GyUIe7YkUMqD zsBajtBjO{4nxTzd#3l}@eR88k`^l3=X^ta!>w|3!e^WM_)= zPZhBOu`h9JaJWA4e;l$vwZ%S#8rJENy&U3k-6Pf{Y8HABU^bd*wZ3H1*$v z6r*>hr3ZAhRopEO+UyvJKA-bi(b2%|#*;i!?we+2aY;$v{NDz&)_2h*mjZaEzVMZ1 zo-XIkywM(7V_`eFBAmI2>H?J+sBoz|mANWOL5dO=Rcp250wu>`2B9^8>@i>feLG>M z*q9jVpwQwpOT?6Zes2+FUe=P12rAB^A;FYy+R7rXV}r{Xpf+AOzUdrE z?HTmS1`!s6=(9lFv->hMB5KiZ6H z-oreuxtB4qP3+kD1DlBZ0F}LrqMPi8vbb(axdw}RH_BhUG^~M@L|DP0UYuU=Kj<|6 zy4pj#!t^VIBH8Hn5(2OLMZKJQsG_S_q@^V)@s0+a7st#rRNduF>qkEvCb^3tnAI z;QZ#gfK{@yM#@vxP=$6AI>`#pS4aNgDnX;J^iet@5YKp<(f#VbST}Bt+ykajjC&~u zz~aZCe0$ujG?qu?q1}!3hl>EQoOc3?;;@S#;|_`T?W1YXUEA*df61za{WEh#t18Gu z8ZcFP$IT`ovk|QWhu3VRm4Vv5z%ge!DyYbrqF8uBXr<}*L0tja4)dr6ttf z$JGRlb;?f#wthr{6}3M(vry2LYhp`R!>*P$FXb<*(+wbhpP%VZbil5-JhpT&N>R@v z3k}^0o~-i-FdKyHxW|Nd0XAp*Vl4Das73955NPH0QWa+SN>o)LRa+pVn$u=hCvaiG;1~u_!Yd?;jS#o#6-3GRiW`9{A5Zm^^M~02Sq%ETMWL6 z#l;U)wl&jJvB(+KGdkR^&c|Pt^|R30HKjf$zCQ4^r1F9pi=x#fWixuzBt!Q~tB#Gt zwyge!nB~+&*_4c*+>+)09=TP381Y24Q_w$ayf2t866oU=YJbGviJ%xn#pN%pwj$ku zo9r2=bYZpujJ$$Y?B@;8sJMZU?7HL~Q(2iFi0?qBAu6+kkV|CMc(T=o9g8Ajhcj)- zC_ai`^%mF$2K0QAjeZNN_*bMK7YS!Day}#Xm`Wp1euAoC{lQ`DZ%i^I0wqO>x*7fg zhZbqcp(dskDy%{LygHlEv7NosLPW)swA98Js(=>G>De$R%I~o@j5%PnlU)4vX9Mm% zD!0og4YL!3Xu9isGxrvD%7l(2me)RJuJOz6fWT2ak{oS0Z*6soH!1%Sb%Cwv3%VXl zUNt>~N&mng(oSVR=|(Ch@DPhdLF)Dn6RQ#`m(JLE(VT6MQ^ua7{!m>ND+$6s^x|~C zansL#GS1+3nY%&mX_Qfwx%FnaT%ZTmAVzzG_;SWftb;~?b64I}YZhgo@Ke>Jt&XgU zT$~R!EaGS@OUPOb?}J0%&g!`#7la(5GSm+?kboB2wbxj;;WEgMSXyxzplws0Xw zWuUiHHJ`kXy!W8(ty*X2*(!s2Bks=tV?#SjLnZ1Qg@j3HqN`1G;IZx6hqC7{GVbfB z?BhU+@v1IArDyct9-kf5g9EJ}8C#u=7WYVoHCOE(2tn%mHfk&kTJL{R>`0i;?Sg7B z2DQACzeozaYDgM+xcDFspfJVG_e{_PwW~TgQ?x3lD67=z&Tm}yle2O0w&z&w3cUhh zh~Y^RLYGBnkanK9+K4rtjkZ3?KK->M-gXw9sK4~>EsvYk-)@m~L8>(AUUfD`99Y}># zjm{Y1Q>HfGE>E9SY0ia(g$)3oHSE4^_XW-7YTiu}HTRi#x69T$D`VTH`26^)ebMx@ zl_A7iWhiVcTQH_iJtR=f7SqgkYxg3hz3@;kA@(C_`bXoPsccna{=5*s2L;Ie1Yy~o z`jEidv++=!o3-9ARWlP{3^(u6y$sEq&p)D-S<5-{dI)2H$jNo ze&<*M_e2&t5At-(c*&Y3`(;TgKq60A#{R_WS^wSHoF@o+=Zj*NPk{s&a4jqIYb}9D zi2dI{0j_Ls>bwKd*j-t*aKa#;O-ghrvgDaLLr2>I*=c9X-^ZW#I;BlL=X>$=jj0(DWLk}Wq0!=xn=XDRV7^!CPI8(QD$|eF^Vh5XKaB%!a8%*!wxdg- zDzZgRtF2|uQO4CgU1|hnk{t)%YFxao&IZP}Sn}>?xd)&RK!pB{z|V4Xm5S>xm<|L+ zOGRHr0 z-pizHI(&%+L2<aiEYr%(lD-5Dj-SaKKqJX`dTda58H*3)quFWD;2K-av zt5{%G4?x+E;KDIB&Ha3aj;(DhBLeWoL3lS*&{T>g~5YJ;6sb}@m0$Il?>vV=&f8Jap zpQ_9xWpBMpA?w>2S|)~#ob&1Ubc($E;>|-7{m>-)`GHu?GY){7W$n9*1i?n^NRf@= zy;xScZ47w-v^I`6=S2zncGgS|TkHq0 zIUVz=KOo8b{w~Qvhq%H)l*L3X8s^3Nc|q^6Q7Xa*>?#N;Y&U2xiqzTL5O)1^)R9KO zCSVS7s{UyPAoAs!)Sw*IzGUaLMuonzc%8*&BCy3UlyuSXy$<)z?n)qw;>Lqqs(Z<% z0?ywl=K0>+=tmdZ55pSVkEA*&$xPL}7*1S_w|~4WTF~(fs`64?nrSWUTjgCg9JXtD z=gG`VLPl&-J@%y{`5%bEbkmMsHJaDm2Wq8lp2u!|H91I{o?-|pG{<%xe10;!A>dko zr1@jAMm{gMzCLLG@_!F8q|W#lu7us1T}WnDJa%MQf<3 z?1zI?Ny&tgoWDSy8Gx9F!J+bWW376+keQFhPgQ8sTUa2k6bMn71pkqWGU1Gd{~9se z22q;$sok8f`_lS)kD|Me8caLv$S++R*loO-RgTY_W=^(`#}Vxk*LwJGCKOrEXkG-S zhw6d}3AC!J5o#sAS4Hg8AZbPv!`>c!=(&Yj&*c;y?0@gDWU}}USt-GcO4MpHOB}l828Z74%i-cbvR$cPFEq@7 zpNijUD@f?K+vll0@;!`l&d?E6Gs4WRmrtd4Q2YmAp?^GbcSWom0#sfc^Ip8^xZ@LX z{%T*HLc6%^KajqSy#z})yk}q)^xdkVhY0Q)unmVMn#}l$jB30Y54en3(&tU_onSM( zwQguNMtkW;o9eZ^+fw8^+v9At*!;cKd9!qHT$tkx3WHKtrnYy+$u(X}QeR-@YTpm{ zW5VJ9Gb`v9db&&AFq^sDL`9Rynk{fI5@cO~B_ko42@U1O%Qz#TMi8eG7RL&MtQV!X z(+*#s zt0r2ZE#I>uqFHIgmEUmOO$2#vwuU*W+<4LA>U;aVzw~a~TAoHWyz#t;_-Fpcfz~0j zC3PRD=0s?W3`V54QqqeC&_dqlN!NI&)cmHdK5hIVxfSbswc$F!4Z|#6OT(|k^Wh$YBCGS% zt7u~MN??=arr3KjrWeCQ4wC5)0hatdeL0(`a9nzdpDs_;SVKBvYq61l!^rpNS(MSH zV1>Y5I(xmdqUfcy)4~;<9Me4p;kv}(Sm${uvyF$!NB;?c6h{3k0Ah6ge-(ecmUU7X z60x0AGRTN`)ZXxu{lAMqz(B6ne=R#KT-94L=|yL6ihG)6W?)yqpwniXQ3lFyAkJS-pxeA|Nj zQs#i-Clt?t*}2UlRF^{!04v;Fh5V4D($t9c1-cyq{}tOPR{VRkSe zGBZE#m0Mg9vvpQ8Tlyi?vt=C+><~2@<)j;gR+u?1hGcpoRc{$vC zN;S7xTD9OzoQFSRqhgy9Jy>>GMYxx3mgKXzpAoe*6= z9T1r%UU@33*DbgdHs5q2?9nmt`wQugk7o zgxsN_6J4PZ%wgGucnjBE$-7XBd?ophq(E`JxXGD@9I9ZmIFQ>*kN%S1JBJg;Ld?bi zQc>;MMJDOD+6d*_EO*>hEVBa^->^1|;F~`7!hCV=%WpqQAD2^bUpe1be)FygaVw2f z+FM6&qufa_jv9;X`XHydKC-!81!fMC`;fYzx|1PIFmTGyjvnUG_vCb6qj;;C(cp*4 z7Hg>5Gr#DfH%(_J`=0}0`JaP!OWL}PhaPy}dy;l2;k*$|wJJ}czjezEl=AtGHp1l|j>}@2B?&q43?DzTfmUksDxYZE|a+#>G-IQ&z(^p56c<3&vRyoGiNJE^CZ7p3yIrSrk*Gje!2%k(NtbBBr& zRaniORu2!E;%>_uCb~I(G+r37IJN(#?!noK@Yy`ar?sht<-h5ZCqmbk4Uff=!hU}) zw|g%T*?X@(u}ylei@pwlN}P*mI;w9H5mKNEMJ z*z3zkv1e}K3B)4t)P9Yy4Y_91uu#%!=?ACg)(C?nfy7VOR(gZ>JMS{ulv|~rIkvvR zV~T4eJ?T-KL4RDmOLBEbQYj{bIrMMAT;4{`9)$5R&tS=uWo**OHuc*)*ds>-%Hi>61WDod=}Uu2Sra z2}P}7aOpCwaOpgA%r##L*LbraU+mzLR_?|hu17nP$ZtWnUM~Is95trptHbY|mQ3`8 zB~^D0476w1PEWjzR904$m8ML#Xc*n^aaeux)#Wxb;r)CyRp99D;Vpne?I>Y?sIT9x z+AC3P?)N(k`m?f3>5bpS8^efGuu}%iZ6@sr>*Wl*otX{)>m=j$R!9_GX)e%R09zWFmkk@#Zbbzb7hjdy;t_x1b&hiwR=di!AGdK zJtjyTNw98*WwP89yMvVTYfzx}iGytGGC@#uop zXU7@mf*w-XU+aazS>;?|l z{RnX)t;f=vE_SWh*_oIvR;J8uNKlhoyPi~Qo6hvo?h$2=-}YZx0QmeWS`_TABT^di zM6FC}{#ou_y^J*Ch z(l4l|hGbpGu!z4|vSwIeHCeAvs>E4Qth-=7daJjbG^cgh5>qIYI~BHWBsXY8=ZRi* zj*Ch=@Ew!R41zeZYX^!IwYPX&e&0{41OTjXHzedGaQe(&Y<_$@F~Moy-6OUPX5{X?qG9Ob>eFABOJ?7tKdnU!Vnaw1Qs^y2lM8KtEO&6Wdlt0 zwpWh5?#EOPhtaY}<+Qk9zjw;D8W#DCpgz^Qa4z(3^O|SHt7>_wY7Agi-@#6 zBr1AJl*w!qa=A$&M2jPe@{ejcYd(DxmJA~y;Y1+IT8}oTRSBQX7NfQ$j=#NFj?is} zqNIwOZgIB}qE0ZQBzFlp_M8cV>C11YAHIv$3`A6gSiew~uuZ#~+Y}8N%+WYL2EBY* zp%9f0aN?~saYzVbNe41p*CsiaPIRlFhD!ze_4!7f_-is`%Msx1RKmjGX&PK$g-*22(aromMzEJ?9VBDl{siHL!SC#zU zI)pKua1@0Nq29vwGi#AVeIubUSar^*pC)wT!K+ZUPWFVJNVI5Yrhef+^HA~J_-Fzl zl*Cd9EVt&3?4@AX+glUb$r-J5>gZ>%aB_&&6M^VP5JvUs;jpZDBD991TuqIclw|mC zf0)a5M$5827GDY57*)N!xepK8cv^JURk*x7ikxgBmL*-=)Tc4k_k~9~+G7|7FKW5{w3g2Yr=DInmD|N%{`1W3man;L> z=c$$g**0K9d-1L1e+xOU-pWhmzg+AzHx;$}^{7km#(4KFHWgCjro1YJgS=K}g5vZQ zVmmDluYwBT$R*B$Z`%e`Q5rT7#=iBBE?c;($UF!r112qJtA2tZ* z^#6+vE2U_C_1}l4qwQs8vdNnirw-a5kuAPU)^2~LXH?}G{k^UZzqd-6?^s1 z^@~s59=wsTcf*~TpiTzcm`*kAbk_v+2*>4WJvG-!7o7ky*PnWC42|_a``|KZ?rJCD zIg4?!Y6}Y7(RJ7(Cp@8eejgkm!UB-)(S(doF$NgkJaS*BV$pF;zP=U=+%adEyr65r+UUc*@4Iu(#Vj{@P%P)+(Q)vwy@v&Xxt(c01V6z#&QjCj4OMOxUlY zlq~n^jgP)q;B*suvG{v>y8{6p?LN*Eqvu~PbS>N_uF}?%-3*ycgJk*0k-7ohFnW`) zZL;I5f?cIPS5MIBGu4y?zPL|FyUqELZv9Mto(dIp1!4xd77yzHOYJ+T^;wx`2414g z3MR@}T)C$*oTOisJ&V_jc;PN%(p!~ao%V|>*CwPrz4ghVHcvN(WYE@y6&8&ZlxrzyDvkp&m zJ9RvSYB>$R;bBq~I7g^Iyvj@r-%B%)ht4_6%%$FftDoQ|DE!7&X60;8gJHt?c_D;Z zPsF%|l`&*v8a~Ma!kif~n73JqVbxoaLJ=|aFbAk;VD9$Gf{;vDhZ$*VrC(p?mCNDh z$BVscAo}H-3ohK1s!stMvb)wEr3bF)j2mM0w+h9V53Wz{PwSqHt}n$bbSIxLqTd#r z*hD^v_i)C>9)%Gl+#1K6X^S3job<;MYwyo=6Au5nXf-oS+f^sX^ewNQnP}x!U~yI+ zz%6iQ^7d|E71Dt-L-?mZhM?!u{B<(go;S-s92*z6_}lIEbsO46@W>gR3{SR)iHtl* zPw7Voz8E@Yo|2kXaV|rkRO5*wca}%KE*0;B?)AU@hrUh^-|!bR_o8M3XV6mldvi0J zznJaLjxvE;XyAWlJgXp($j7MWyIsWwK5=srJZMl}V)T0MV{?upUm3Hf$G}XGbcrQn zA!pl_wEWJ>%`=|2u`b6Nt%hPKmEVu=I4T1OjN3$d8m`74$LbD)&rc+#SUX7gJjh6q zIay%tJ_W0vUIorv=#LH!!Qcci{^}j^e+H#+P_ROPZ;jF%{_3V!am;G&!FTv`!#0n9 zN0@P3Y-gXEMwSAsEFMmju8r>Ay0`bEFX}WYrlx;=?imXc>5P#KzHhOEh~#vQaOP$} z{#*OjlY1+3CqItiXAd~p9tUmPta32Mruo8P`ix|;ZaeWr!1p3#&h&B(SAv_ch{AUS zom)@HQfj-*oJx0SnpCs|3F^eT;!^9YZw-C&YcH6Nq?ZhkdXrqJ!dAmW^v1|yqwO3K z_dYP1W{F z|4Bl}@~C8X(9@u^BI|@uwix?2B?fU}hKOxzof4i)&C|e0rLRd=m)_=qJ5%lr>Nyy= zWhPZ>=}7f_>f|eG&zqT6S@L&w*L~D~sk_lAh@utFX-)}tE^0(HLf#y(xTpSOd zUGp2UNe=sHK{r}a(cRf>1$cA3)SU;P!3}Nf-CnIs&+BJcX3ZrnsFAAO`9pnllRBr; zjAd zc|QMYm6}f75a^_Emzq=GPy~`4$LQoGPW*2)arfE|GMOv57d2}arxANSI$EnfhbB6{%*YL4Y6QP22 zV?2@~4^ewx_WqMSq9d~HlXXsO$nQ@iuhc8?slR#uUL^C4$y?v3j&4Cs%e6oH=_Tr& zQ4ckhIeWtf>54cxM+vE%;X!0kx)@&90@T{b8Wu*=LonIs&hq_BY$U)F6kef^)J?wj zugETz3k4mr`&>as&U8rVv?*_YRSz7tw{XhqToTiTgUFhi{|B9%tK5Yla73&i^N%u` z?w+1*_2&I;PhaxF2^HQT>JR@b7$qk4i*>P|qiWG{hFRJ3-$;_mx&c+o)rBQqm^bWu-P}Zfm;B1d0h2D_DspH@f~xfjZ#QC& zN2h2yvtU$2$DO;xp#fYV#tXB}LG%hIR_e~k0|=!$I-1$Qy1?-pAgr#Aa*bDs{o zFr+c<>?c+Xp^5>zl<_&zAOouLUU^Df2u_HJsqfoSLUcp3bd44c5)M_Sxy`=V zK;_LjpCf~YmnA)&V!~BwazeQbfjh66QLw66CSQ#VJbL3;l~4M>R7L&w=6syvtEj5! zdNi-NnIT(KDQ4-47K?S_J;qenqD6?OT21JnDR=nGub-S~36-Nh!$#MgyckA)$3B+# zOr%;OE!|0>qRemlP=Kk%iv(HTsjl&vxwlg1xDVBB=?m~l_QR5Mtfbw1e`2Gi75Z*} zO-v(OPmmP!nw?E(3=q8u_MjIqF*~;qyrL|SFhz{)?DX{*IXWMsl>KbYN|z~n5?R>R z7vnuT5rJ8|+bcy(q{8WqBYYW!gYcQzfZFYdI{6q?Pj2e34TFBn+ZS*zaD#YCc+s_P79H@`OlyTTSfTG+nuds+0MVu40yc^Y?up}Q5Ped1B^NZusQfXJ^u&o`fP#7Nxh zWqhgCtkctWkMZayJ*ZkLsu<$xO(=+APhrFsn=~MY8M-3c=;WLn3Wb6O2fABd->^@L z?eqIEM<=~d9(O1}2gU=kmxLMP+Oxahp@PkOJ5~;@8%!z>b=DvHIL2qX>-Ow_udVYA z%n3OyJY^)nqPZS>$ay(D$A>+S z9=O-93K~BVJzwnH3ok9tAN5)+aZ;$>{8(FMz=Hlty}d<9au;22UNfNrFdM)tSn9f; zoU#qCo83-y^`Q3OPSO1ABNCX$R9y#H$wjFsn2~1P7^* zsYKuX`NC}c>yk)zPMf{Adp}l*cOJ9nt?K{Ce5_x&*w)=D<7jEk0tePTDO^qJu$vJ4 zT;?8{T*2})@~A)gXk#TR&es*5nDudDEnr}~7-;>59h!PhNN^q7J0Uz7x&ITT3Q2X; zc z!ne|R*6yGpU}1E^fXvQYIuQq((P(S4{$Al4VNvODrQZ#eTz}cXKe0S5Ku4&ikyQ%* z`fS1b{{&ZJ*50nD%4NX&^2p8R zkAnxNy9_^BP1Wj%8GeVo@Xa{2;ux|$$v?M&XWbL$K3+itkE4{i8u#g(gE79(e=GFd z3Bx$-^?-i`g?K=Wt;RZRZZHtEdk z-1%BDp=@;W#7Mq~57EYT+VP$+l(_zf%McrcY#lp@oPmU`cO^!r8P zC}ED0V=iImlTP(TozfD=y@Ung zsmPsO>Pru!lkWEFD2l4J#fwUOGt4Z{N4|s!6x-f1C$?jG;7%35z8PLj6sT7 zp51u3ymh0x!~C)5V(Eb~X)nfAe+ug*WjR5)6r&gj^*p&gU8MQwu$(im<&>J%P^?T~ zW?;&_+-54J{Lm2?P?o2|6U$*AJ%If@U0L;v^lWoFB2?@8>T>pi!srFgACRKr$+-6QB?H(N86opj}O$K!XJKegXKjmXAma6VV6n*obDFFw zhxEhq6yN~0Vujc8%baJ6zTQ%9@R2}-Ah@Pk@mrSW)5X^A(g<4$5*wex6V}Py*4S_! zB|vU}pajG(x`(HVm)_J+ML#uBajVd9tflrk$<604! zPwWR*g6;B^0|(?AyyfV2#+>StDVNgvQrj^4)$7ZZ} zmtu5eFVfqh@2lT-$3DlyupLi)SbX1VE1ePUYiRnZYNTCd5~H+i#<#W)!n}DW(nLSG z>LHC25KlU6;^j?x@7}iAeJ5t6jZHLDaTCQ<<>qM-(yaNEjBG6SZiZuAzkb)cS>`K1 zD|?iNig)v9i-#5}?{uKE@HFCISqVJrxBL|4~lUQ}i(@$YW;+-}Lyw%ayFM z4USE1liF`-MH}Hu;?Li#=}+#rx2s0kz_=~AxeJ(MWS6Jx@KsDPbh9N#N5s@beu!M6 zWZUmhbLp!Zs($sAogYzMm)Div7$_hBMOu}(7&@>QYub#IziBhHZ{&W$a`WR|Vf>fZ z2XK21Euv&~XXmyKFS&cY;eJ6-`F^qL!&(Zj(3xY49-Qm!_@KvQV7W6RSpvUG(c2Pp zpTse>9oovxyfm&*)zAv1Al!xvJ7KuI*eW~w>K%tF=js=4&lv&S`pNo;vy|t}w!i?v zFPJRt!`ujQ{ps+vjcfd`*V5w*Q-rBKm#I$0T(&m zxVHs&AJR*RakyiRfMf04`bn>vChT2(38XME zTlXmfc+b(#Vln2=0>e}K+E)5wuvL$Gwd|r$DddX=y9r9Np|{bGnhK;6@Dt0Q!wPui zb@-5Fn4KoD;X6eXjV*o0k)mkWeQx;WwBtqa?jtg?To(|?LeRevIlzL>_Nt8pJ~N7p z&k9x@W{NuxZK_U!2gwh*?yJ{(!eC{fk%K#Zo?eObBV3#_DdpPHjA#3gIR_UG)Qr@u~!(L63_dwU7Jbpv$88KB-s)e ztv;ROXu@qEq99`l*7~N% zhpN_{*ts&sLR%3%`?x^ybcpq{HV>-R7Y2AaF)sq_J>N%4s0F)^vRA@2OPCTrTQMPJNNW$G!< z%;a1WJ*&=%b7=~+GZi1zU$(Wqr;#<%MfrSvoBKLaDBm4x@(ZNKYMQ6i2Lyc0;^}IP zw*M-c{<6)}t}W@HkN+O0&pJ(|!1;2Et`a`!9h27E(Z$lmfWC*b5}I%N2;&Ng8k@G@ zn84uK{$UlvYS))<`-H+LOYHBMqaTP^tA1wMOcB`}>tW$JUo#X?xK=tul!i2@CQsMA zD{--)hEsAx|b9O8_kmSr7E?EXfN4D{! ziVU8`-3gR(NNHj(cF@IMU%abZzGT|tFaRxL&8q1w`))cJnz|%rS8eV!j|}ug`NTGO zlSb%A9E{Z4#)5U4Ay*-&z2Us%^`F|G!7TwIL zufIbG?@8J=iJ2RRwwH?|*?US!Bz_fsA*W*wXch6gUejsbW^oJiYv+}^(D{H5)5RG$;$TWx3IsLC<-}~~qJuL^Wglg)U$g2GkZeMYtfboTfR|hx3 z2B9m|zxd1*2U)GvT(`0qo%?V8cFl{16-JdeW{rNF{=1BdR!*rFRiab&?^(z^vzGaI z*==!+e^gt3v%!aDJOl^3!_4^mGSmC^=v{P#!H_5#f^p0 zrhZN1ss*({lAapbrEbpaM8B-E(`gsl2IcM7FK&jlHo7cob^cz?%z=1m@wGz@2!daJh=S|EX*g#u8 zkLGV9SC>uWNN9(ro%juIm{|DEd{$CW|GwL$N9<=nsPTo5mU)D~<3rw>lkY&Rc$>Rj zdp5pzq6UG7tz2|0id`E(*0R~7T2ob;S%U8FpLT~xhabD!%aCz)alh| zFKzifbdtbHW}XZLlhg43z4jrp~f#Dc#ePg`ei+kS~L z>;GfPqt~zke!QvI4~!W7K5c;$7J&KqAU)TGo93m^a5#1sVQk!7U~K_|V(Q}00!~N( zx|e?$+VvHPNrIodadd-hLTFSv0E~_8?g46IYHWoMn^SD~5Kn*RO48b$?kvFX(Msx& zf#+Zg5)BT9jxpWA2XqF!&KpxPaq%wJ=N0)J%#wBM^5)YquS{~AeV;ix3~+q)z^q1|b;!ob(-tV1OYCa?+ zu83W4v3nl%+r7_0m>Nq&gs!6uei~JwJA(f9=3?ucgqSO{?4&67a!=j|oIt*uve3Gq zMAz2{t0%*rI$eBJtp?rCD$oPK@96MZ2h4U?-@^etXyg*Cp5z?tsrj8J4b=_EBH6n4 zW2K?|Xlo&|M!_FR!=drmtzw#A(WP%SHZi5>17#jig!hh=2-s7IIhAtN{RTS$1yF9 ziW%*@rD-2He1ib7%QMafjR_aSsXInq2M99;2KrepvngBE{)g0yKebr4&v!DkzAV8e z-Oe@K7H5(0Vo`F(cSTU1zhrSGkXKk+pXaKoUe89!>yPGCn<;?HyLWo52RGd2l%1I9 z6(>axhkknTk&(eevD>@R*yj`Y8X_LTGUI4!MUS>0_lM6E3+vn7#4vsYp;NBMpTbPO+~l~9`a z3wesKZ{CJob^w_(?E5W`PeJsGYos%e%IqMwV_Wxuuo0 z_g-^P>%)|LJomG&@oLk%(Cm(O4g47=S2WW{Tofl%x;CQ<=frL!)Cr;M!zVWY8mv}A}b!`wptKcfNh+iGWD}=R8{sIvbL;IdRZE9;{Ld3 z^>*kg>Y$fbKqHzu_eM?A8k;9SlcA$uNpsujc)+ZPKs3=l%N-c?* zc$l0F|2lDVK}sqC?AaxI5*XP&>QjK=Uj| z1w{k_-Efgw3E@z|X|F>xZp=uz&K&MuA>}hS13)NgL6hyHBufQnA)bErpZLktCO^>3 zbx<1hJ1_Jt8kuBSi$d1CXVu19?LJbcs|N#zy*R6jX;nwqBQcM3oxV)k2A@lYa0h;|xKf?l>;jP5 z-?I-s_uKs%FgE%FN?o6r>B z58K0JAP={XZ!ea}km0keyUnsQ1#q#$_HpvrYR`?(=0-4UO;{3*%T^48B&Ce27-1v@ z9Q+vyO|I+5o#re2zzAKGu9jL>Uoc**_!C1K4W!3=|5O#P+DO1htGDH2__dXj&)SL3 z+2JX-gqo#D_Lqd4{4d113BCq4(RE5Y#vcG52*?02JLz^r^4;4gboP%Wd7YqsF`9?*Gbqgj8$7Y0a;rP4`QHS zOa_Bzw$92D%iUYtW}*zjo9e7Oe?Eku!T2+wo!j#d6TUo>3mIGSCu3MFmK=yZTzTgB z?YzF(VVucs$d^Ckn2ot#pgyed-*{D`ckZZAOu|P37}EMCe4lBFf%oQ%xdDuSDL>@*V@%thyudfq;a@!W zIPWGK2xUVBOZI&spzvd%~9*nOtZZ6P;Zhg7<*84N`taOs4LTo!e)$rJ1PT4M z?SrcVA_rn`H>TC;_-@4{3M+IKo_7hD($58*M>y(Y={Rgii~p79Ja_-z8y`mzmzzT_ zws|%UQ989d4?E>c2rEAIY~4dK_%tTCs89$$83bE(c}x$yg+>mv8D7j*i?%6;bZd~q zdjI4s%jRQ}WZ3&Gb_+5>XSg|^HCnKMrPL7#B6NjbJU1||PWqO8p`m3WdmTDbW(=$s zQH(%z&Xm{{pmzq(^&>wk;~Mi5IQ2Q{&PXhUezvx{3LJCXGZ0k>VC$MGvK+s&j`Ayf z@95d^h|cC^MOc~`edo%%AcERqhT?~%Z=5l+3p0IPgWnnGE{zCAmk4&_y%mmSk(l2uB79RYJ|!_Wxn|52ojGk1Jh z3-GbDBxhLEf24dDHK>5p6o27b5pM!Qv+KRb1N3zOHeRLJzDxC zL75XIvj0;jK~}bobKG2MG+j(3n-=7xe+wb`Qq3+B@KMhIqR0&o9lXsxm(Hz^yikU? zNH0%>$`!<~O=p8}=r|sfOA}esE2Skw4EtUjJ0pEYyHc4fTYFDsS_cQ!y4UsU_g5^# zX!ccBY}&a!mxt>8{l#Un>z=)|v^(1_u{h~a^V1XOCfQ$Kt4X}c@-HAt@$P>EQN!() z4XYf$*@;u@$jW2o#+t%J%?G6`OH6Texcu+76UfHrfW%@_Vo={i+FMpc|Chtw#0Fkd z741K~+z!YR#n2I`IpPJ)@VsME5PV2HHDO>3b$*Oki461g?sn*Yh;x+qWi zsh=^eid}$7KU=Gt>FF!R_O~<)LSZv0V<#e@s~!3A!`(8<@l3gk8`ykSf&4~a8I!8W z8k^jw9!UPWV1jMrhso~Y0!|nHSJ~7$N;=`CrCtSl2PhbMw{7khUXK9^vE$YXthIt# zW)bxlnr={#@W9>B`L&vj0rk|Sn^czp_zF_qWA(aJ{Z~G2JD}hreMK)|3MHuF$ybv& z+n>C;Fbu;#u^So)yC)o0JdM|TwD4KDHBe}4&J0^{EvE78gomp?Uf*N#RfPGX=G6$U zlMyeV^qiMnUwZ_=tY5g&q$L(@{aRpr>jKM6T0}=pcp5$Rxr+9Ir}{Jmfb_2cZF|X| zBL9loaLzP&f_;T4uCi!$z6O)`t_ zQYbn@qkTg*;w1PY5*fml{!;PGPa04(|bB2cxI*ELkp<_ACl}$8#y?_u60c`u=P1#RSn9*Ze#FC!a;?1 zS;l91rw5%43VsMzDl$&m$^0JktHo$_0nECGv|I@qXc&jf^jelb8-dgztyx+0B@W`4 z5@H;E)|cR$+G+2qGB^X>8XfRc9gfg=?6fdFZ+-85MA<1iP2qoHfRsS=t>@1Apjo$8 zv-Ro@ppQN=DtG&@#>u^h{gy!UZ>uWeCuas~y&_b-&H)AghVOJUt78p4#@5cnXF88! z+mg}}JXgNMsGH=uOQ8~UQ)Qz?>w7jO?>j>$6D~S6mg;wO<`$B?=3f-+pWJG#1P5iv zD@W2W+&Fj^!n;^3A=IHZBGk%j8z|!ra?{Z$Em~K-6R+G|rTFB|hxil$7P1U@LA%3H5@E7Q=v~C-;rG;nUL_B@@5sk= z69p-Vv1Y7dd|TiiB{x(F%UJt*VrD*KB3l^^z>(awGmeLiNU)X}Pfwqz+c_xpr$w6h zGoMc^mOMQ@@MjyP!MT{cK)I$FjlTEM z5jnwK4&U@tfGR8#Tk*Up6I`{Q?%11TH=4b+7`5S>O{pb9!lSF$6HiY4Fv^03-er$u z-*QZcQwJ`w_t^hD93zJZVv8S>L@8^Pfv8nci5KSfh1`q^%>A{%ouNIv-S%~{Pj(il?iVsAW%KdlhvO{O`yN02Rw1gM4pM3vCOOwj#;&4^HnB0F91d#oY zoYgcZ3qn_6uP10(T!U|TB)C*rk2IB1fLVl)3(;QgKfmS&xf3v8IE(=A;wWO2zs0sW zHdH4XF3o`@yTh*!t_K7!*%8zs-BRLt<+8L3x#apd?-O*fKx}rF&J=Bc6N%UH2-8Rp z3D?~Hq@QjN1nQe@TLx$<(+c=;ZBf*pXo6RXsZGF^uWyxsQ-SMi;xm!u2PGE^D2qn* zI_vWICp-E1o#QvTTF*;g z-H1XzJgg8Ibo;|nbxKL11f9Q zuc{`}X0_xhPNYY8qyH9vZ-)un;n1`%^mZUr*LBWvD(=GkhwFthIT84sm1EqG9e(@J zR%%}`77NgV26q-T)SbH>muWQI zZY826bUNth1X+~_jV+a?|o~Q9BqoR1K$I)$Q zUP^<*`p1&Q=8%6P>)6b@v4tM1g*rTG4O^K$V~kX-vOHjS4`dKj{pI0IoIk#jAf)Ju zji!@?oE?B4M$D%xi=6j=vBjl&Ss!8ZFU21_U;x@#q&wXI)Z~RfZlFXcd0^at$^MBW z7x#Y_fM~I9{Vf0ykaxp~P&bcY1r`8`EX}8oA?H_@?=Vv%%f9 zi{~9KA+aL0tAEJ?%qk~&6k&JD)#Zi>GOwLEpG&_N=uD4N%yMR zG);%8_bD=<9i|H{v=rwE@w@akG+J_>GcMC5Os&?i~Xf9TOHgjs6D^3&cG{ z;E0^KV3qhqa5>x4pAArG{JP!YvFhirB)+l?kvNb5;}XKu#6kY%u!|l$)0^csAO%@2socGpd{0Q9~lteFPW?f&Geq$xo*XYk%U-DPiM=10y89zxD|5>#=`YrHKbZ#ns>Y+n|F_fb-}wJ~TFiZs zQ^5#@v226rz5jsiS+$hyy` zc@e+&y(eLr^^>EcCwS6Nciy_ZyM%3R4Yt+Vrb$%}^ql)6HSZtG?L`~d%YjAi^s`z_ zF3ReEADE;KM0lCBPa_osb*5?feuav!3`VrxH9E0r$pl)mfQ-WoWncZSShR|Lll*ax z$#HkaRNRmD+nAHjcVKAse9zA0n# z$J2DgwsFV8m2iHoczZ6$m#eu$HGIJ>Kc?6uD_j6c0^FC?3>ItAsP8s!w_oj5%Rlzn zOO^HxOWJ-_cq_bj;b5Z0NgmdbuJ?zpev)$K%`LyAegR zI+L9rjuttQq|j-2CJs1~gInmIH3`|yHoTwm6TJ~K$7|cp8YkGy{*45(yq-2-Khy>} zJqm7w+q>URtp{mL5p*Ld#r6*>&P)w1^+)%R{SgMAn5z-W6#onk;35^!&YQ`BL??Zz z{F9qgLQWgsZ>jNn^y*bOj?aW=Y=^u-&~ox?wSo}-0~1Wd+J}_mvmED8QsXMxNK{V#@n%O zBRa>$*YJ_a~Y|9otyPTaIHsBpT7)Ng-HIx|^$*v&leKMTqb zdevDQkv-2PKGy`kZgcb)FIx(Bhg6ovmoEM!d^yreIBXWG7al_D-WA|hX|C>d{QE+n zVm-+FwdSDDuNShb3&g{w9QAaR-9`HQc>{Tm@R=FPf}7?saj@eDpVD32BKyYdaWm1P zCBU0;2mRyaUz8S^Q`~0jbBgyn^(D6_-OR>;@T1QEqDI~Zs-eA`bl(esk5-YKIE9fFabG zFE*Y~G5Ac+=ywFGi2XDe2C*9n^3uCFJ4?)Jy-g{eV~Svf8E$Ny)o{R#Imh1Vs#!@+ zb{9}4uR(l9Ta6bkGI+Vpb_s%*z7WvP!F-PzRg$DcqCE>eui4CKE?5xEKThIgUb@|?aCNdnh-=8<*rg9JJ(_ z$|jf)VbVXA5D4x3_drIG6ha&0Q_Ing9o}>?8E811BZX>8k|Fw7ERE=3qCjh7Kr*}2 z587|^Iy76o8U}6u!nH-hjQAn9gSZ;153B@qte#7yS%yUr#At@ekLS-aa_9S^JsUA& zuWZYPC&0Fo(Jt%t4pVuN8kQJI=`WtU*|i;WqF}zRZfNJ^c~$OboVnTiFBA$)0n|ct z5-t^r>eh1hiO=Pg0Lv#sz4cJmgXIn$X3Z`@0}xM|1IWR9|H#MZk)>8epVH~1C~$ae zU)G*1f%k?FssIRazH0GwVFCfEQJg^(4dAd8`m-}6=88`~O7(#Z)q zT%t>^`RZUA`Y3McSKsxX0;$n8yI@j9QmYE-B(q}D^(X#_FhSv~0UD#*ieww9qU z-!kn9X;UCt=y9AKFg`mitKcNYTzpu*g+V7*o6XNJ)rOGfbzndm*3A;0lXO8&D zSOu!{7!jub>{FNl)ns1^f$d$FRq+yRZ8(WT6Lg_mXqUMS_Cz`#LaIr51;9&9ABQ9B z=Th|)RgAtOy}-4LZFrK~EuiaM$dior9eF$B?8PiC(3q?Sh&$8ee^cxgK(73T$;A>t z6su%M=n+-MLBZ$s5a<5HqYHK+diw3u7boW1-Em%Hke=!df{x;P-qdM7@c#iHpK_g_ z*=}NZel(E-!Gb3xvUX^>!3-U5FPnKa1+=oS$NPLxPg9Y z%aV=R@2)iyY9MNmkV|!J=6ym`VAu0KD3a6Je=PZ(8DB)49>F_RJOIkjW@7*}p0YCr z98;33x@ph#0}p_1Ou74~swnPQj%Wk)5PGQj@CcctF)>;lZyLsI9>Z}sB6Ld=zsD^% z4GAv2qZC}+{I>jnJ%#>iBgT%uR^}8p3n6-JeO`7ufj!7UWrVPHrJBbwz~EcVOg z%dGvMNUZUnAUeZUgwJBE5LT#v(zE7cS573_Hg8Cb9H^Il^T7wZ$kC4*VHEz}QWei; z%FYqNxGyJ|zTOfA@>@0na;=Hbv^O?W#@c3O#hlKf8@bzBW>NzM7Ih$&gHXD4s(`IH z1@YNQFRKV&I#WJ1+{cd$Hb*95>(nGWJjLp)?n@ZIq#Lv2XfyYW?&`RtquwFY^8nyxx5&~~NcpjXemE&VPTTMkE? zAd4e{S!c*Jc9>&K(cWt;1Z}UD8cVgjhLo1pYR$);)h3bOhB48rWgel}my~SDTVP7% z!NLP?s9%nrVnABARw{4tt!{gnbfn(5H6>pA?__tl5*JAm0`YPY*E%;*&tMJ;i zW1$J~OrIvAl8;NP7VHy}#exCzUUu7B-v?NUKsm{0(?&{FX zn5nE{qXlwwTB!)XgV^AKoNKGsA{#$IvT$voRI;hn-`TH#%w*#+y zLuA3Ku!&+MK>C_rN%*EHUl@GxT9@HOHz!}hSdwXLfoItAq9ZBo8lTH@@CoS?dRv*r z&p*$PkK)PD=~+z&P3|1OKw@i~U{&{u;n`o58R~FVz`w zF(F7fd8{70UoJ{U?AoP9|RnFY`fyWKlW*K*kjzX`r zwvB2WJe70qu!p)=&m;n=j~vsqvvo20cXcJa{(|+a&SvXgV_+q>WR${WtnKOQl!jH| zL^qVpS^GiJJ-LDy6Ln%u5Oaa%L{1=oTw4RCFTf*3!XI2W>w}m;?G!1`dTFvC+=HRE z;{c85v!$NBk1upk(hN(pTc^#TKZCFG5*G5~XP^CU7iy=8EL!>o%6jA=w7qT%You>d zVEuq}4N|&@SI_pIo@Rk&M8^Kks}Xm9O4|sR`>2_!8)oq0GEvO+`aJ-kL8+v<&R&P- zow8*FdGUElsnJ-0>Qboa!HC6k{<%+ZjA^08S*UPksKm9@oRdMQI29Fg1sf%D=ow z;osj0OmfB~VB(s@qil|E-YTNK)@D}r%+itW1GIIwrCe|h(KB?FOBvQ*F5-4j;Kg%) zX9GvC-kKNg@k_Q_JWjTmbp|tS*%s*Vc z7Zz(|2hv1hAf9h5Lq&h6GSv_aW2OykIh7RP73xIQ{PgPV9A!bRlKv8-)>N+x(_4CV z=Qg*389Joe`*YM$fj@sRA&ZHQVsDLl0uIRw{POdf`OK6Sdya{{ryi}BU?Wq@*NwcI%yq4uB6$RM#X;k#ZNt3<%~a#r*_SE}FQ#rnq+iN7t1$>M2K^Bp zmcrW)c96Eydy3*e4Z9h-0Nx%;qyCTF@5jG!KU)+_`E$W-;D#Mk=B-s&IY0a)4I zf~W^MpVFUk>*BB}(Yh8hWRb9hOf1B(kw-DYE_lC)?ph6a<7EJI&v{!_>IZQ$vJNTo zW!`3Ydmq%%w|+DJxg_g;t96`auU-khzWg!8Huy9G7)(Aut9;})c#vbM3saFQrlXPV zLfug`z_>j1o~|FB==dTbs#`+6oC@^g6g8lyADLW3r`@3y!licPd=+6>n$K8^-Y-xn zSz&t26OsrB>^MmDzOOp^5Amu|`#;x~`RmmnRRE0t9gIc4Zr6}&)+4OW+UR6^T#0K2 z+m8|V`@X2&PJ~6FiXn9RM2i$++IdFlHQYB{A&I6UvOUTApsNh@Wm8+!Oj@6H*%*Ct z7$4c%T$5#)GcI76S$zq3?s5b;_(o?l_jL8$&4cFub9m;mdp}j+qPLia!OaS!sKF9R9pB8~N=HJ1@MJ-W*96`Ux8vRb%V*&FA3H-yP z4es;?1BrV1Z7nsI^W+yD^UPt5)gtFB+6fP545QP&FwO#;jVzHDR&POq7b+@`{f!#S zb#yn1RSyn}eCY(>Xg&)y(i2lu^K?;lwKUl3{P$o!O!%qv?R1gCv-sCEE7gad^LsCN z+-$ExQ?BkLAQ+zUETMdfQ_k?)r-P!eLw-*LTSYDr3HSD9A&IqIcMoP=;(K3%38mc!Ghu_6m;BioXC7e+If*wPuIUL^TJhceq>NxDAl*O^q% zeY1TNx4NZcJ~$nG(C0myJ@C%HBwMuTZF?90ZYvC`2I%i9XoaX>oxRt zgr;_2_{1*?bH$HGvcV&b%-_e=Z8Z@?tX#&g&-7dTYE=N^d=aguZgxv$0jZV4A^Ruy zKcuV`GFt9}MZ?3`GUZ(-BDc!iTs@|&OQc7j7hJHi*chE;Jv%1T{wXr-VVs zXCg}uSDoGNOUIE*0#?-h7f-n1g*w&)!^`ycx9Rqk`4ig(Lhq`m$*b!qt8f`VBG^Ci z!}unxc)x0tXunwfwdab%E3J0r_Cvm6kZLG}`fn4F!96V+Hg&_Q*Sq62i#ER&s$Y5W x@Gh0cLPB{!AO5;Z(Sky3DVF|M4KIMFQg88#&o^LvzmkM0$fy8{U%mVIzW_`@2nYZG literal 0 HcmV?d00001 diff --git a/Images/wave1.png b/Images/wave1.png new file mode 100644 index 0000000000000000000000000000000000000000..42048c32dfc07b0860a622940f9671efd7dc9f70 GIT binary patch literal 21162 zcmeIa1yq&o)-Jq!4Nyc76%+(S1r!PC21TVq0qGD>y1Ow55dj71k_PGS5D-KJq+`)Y zw{)z#b*9n&LkGBe6JO0*;QF1+Flb9$DF z*5y=H)DD=Yd#>=ZTKk5?t(tqM4eZYZO$4dpn5F2@dB%EXl;P!zd!OKWbof;O%h{pk zCI7|}nn#`&&g*)HO^uuLX$m-RJ6C3Hj+`{B91eRM$>X4gx@ETUWCi>3^K{2U+S2mF zaJeW{MPq7UgAJwX4~-tff*l#OTYlf|*q0V>iQc|TxJrFpOiWxUAfVG)wn(SJZ^eiG zsfOO()`~iIXn&73BcE+AOfULDT35xjT!pkQ6VaGIZc=Kpgfu` zZ-0LoDXA_Diq}XMvoD8nd#ESnI2C1wonUfv*qKQ_cf#ZD<+q|&GtDD7I5v|kYrjGJ(E7~}B*erUg8Qq2EMfC=Syj=3ZrBp5sYv!z z?kWi`<%>S9${$j;u>Nj)nguF@UYhBLio=he>6Vw5Pe@E$&#djnv>R{*L`Q2VDA0s7 zty}c_uLAA5&{DC+9!3JZH$4PUX@DRU3}lrtTYXjX;M;1rTLSv{dv zu_LFeJGnN~;pFtjpd;zYlP8$vT7n&}1(E&^!-BT9HeX-g_4W1AybBK=Jb3u~3`Fvq?Kg4B7Ok zFIDYhU!A)ViA`3-ZR_o|-~KW2{P}aOT2F3^cD-l^8V-();eG68hH6nHmgAg|y`!T5 zX04;7q(nM$ZEt(E$aJvXuz=HSC_N*?W)UrKcU~pKwmm^idcVUcb#`{&KrTfis;SPm z%%Fp$^mLxe*rM8dT$G>g>f~on&tsI7F+&t}XmnX@Y;1P+ead8vsF&$LF|%=R_EfYJ zBR{`7?#gUhmT6z^gk9C{pt{}Q1eY5h1M370u>Vp2?=TGmKf|B zEp4UL{zg$%6qm)=3jzW2ofr-8yJHIr{Dz$=ta>fwyNhMgk=DK0TJS@sK3lR(OfC5&(k)||ugM;yEPUW=8nPl_52pH9d5l5sA=)MlikbDT*GiR4X% zwM^)#6N21{{5i~OLh*sJW#tyT)NkGA8-xhZd z&eY-(QCd>MpkB(x%Zn~qavFA8su0A?$iM`EJJo|9`C*nqG;mrh5upoO?8_5Ll;y_o z%i6jAupz793GpVg`~2om>01Gzmn-C>A-bp*!|JD%IXOA^?%k{4|NQJQj<${tKOf&3 zEF|mcdS5cn7*|-*$ckFea^rW}Fs{{e>Th~}6pzEWclj%8Yh6RbTxO$ncYfgA-di<$M5h5X2D|++2Im*h)Fr_PDM3q0pj(&N4fpuqNf$YMC znIk2V1I2j6?z6%J?jOZQR>m8wgw8+h;B~ZaZi^Rj9Q1iU8&eUFej|F-!omU$;i|4a zD2QDlP>$x-YEPA%c`c6^Fi8vL*dVWGgJYGSI|TP#~L9j>g%%#_}A$~&{a2E&=DTGRqF z>B^NWd3kx~PTZ4{YF=#>G?SL~!D@1K?#pE;I4us85D0AD+ME?{3Ga*?rtV(~3@&t< z>&~znun@7d%zZIsNZAml8C1K!w}cH(iCZ>!q1YB^i;XIx$Yl(WHa92FKF|l*mSpP40HNz zTXLH8d2~thsSZ8k^wdUM54!7TnSbUK*#M&8gsbdt^8=@dD0ywa_BxCU?u`<_IKT{S z71%|>bfyzgrx&HGJ&X@N8OQuU$tnysEW*Q8#RsYDVxVeB)hc#(X{jUGpHLg#=CZS9 zps!CTboT7oa_r7r=~M`ldg)r#{%#fiu*D(NMR%docVq(sekQi#60!ld71WihExh=g z)-sHWXLcri-ImiH^2yCgmNfT|M>c*8{JC+fE8B zEy&MbwmbOvQ@pX7pO@!j8Gi(&CM9LF!6XneF!b%joPSk&V4xj`X-C42h0|3NUd9}~ zK6U*EU!?o4mooob0nOiWDLlo1mHv?JnA!N9-( z$x}*7id`?d){B5iIrn?T=Fo0emTQ-;kb#Ih;l#uQ6&2OaAdOVG{DTJ{7mmVlzY!f) z2#kn;^LQRVLnE5l{vcksV!c~6X>+*5O3%>nLrhFeRh65HipuTVx06@T5)(5jWsfYm z?eAu5)%MGz%)BHVo9#-2D;Iis?uG&`Re424mRd>8-wqxxn=0&2VS>dP7N{_W%oR>p zmZ)SbT1RsZJ9pI9>g;1N#N@rz&ki$bR?@qF{_=%Lh>e58(ca$N2^o(3x~E+yVWFYT zs@ONWTroS4vSmE4xVpO11&I$I+uh!_;gPw0`y-OsA?Zw%Ema!rKhz?;p4^tz%A)&CAl9yeCg=0TA%Im)NKCxRjt@uOH%SR092a~a1IF6 zA3uH+dbzy3?CyTVYVx#@WH_6_$LB{OLjjg5@c-xkEVC> zS9q@~=F}xq))i2?=i;=4F_@w%u;Wh>FkQo`~npj2lvm0GC>h4VwKXLDMb3i`{pm`+zWnZN>=m`+dS7E49Jv?o5l}OA^ zMLU9AZ{4}IdXL7G39h%{dpGu;^`YvSzU9^!qxr351#E)Uuh>a1CT7TU-K+*#sVMUY z`E|(uC>8C4|9%B0m;lI+u#^sd`(OOW>Yb}98Nqa@X%{;UqJshktx3G(|MInfx=l@Q zi-~QhfKGg~U!G&7-XqsDMI>+`I+jKT2C*lQI44z@5v-z9ThK3iO?$qW=kE_Cb)0tM z&zKnZ^9EqeA~%2xAM#>gKKSp~E&o^lv75Hdut5IMt);Tn08#1R53Gr8WY;SH;nj%Z z3b+)=ff5pU4^bnAhA`j-oup)$UBN=9AvgaV=>&Hu;m8HAlSm)jhT7SmH}G$V<>J?j z7+1ruU-?$64-ao|yVz|G7OYMdoF%c_Q4f;6o`@XflDm2Qk?D#`&Eos*Rr}e1mldy> zvU3()WdJXnYzpM{N04>qvD0d7Ry&pF=ag)9X;gXA%wfo96`d$ zA`Pf}xbgASl`CfKoO2sl@5F7AU)qoX0x@YJBlF{&{2qA{mn-#tXVq3*VL?zha$pEk zccwWl#y!lGo~LGv;2`yin6$P`c7a+N|#@TosGvSZD|c zaH_=aM8}I{#qio$4DuMBf+NB3ozm(BJTz#hiIHH6y2!I;egE61{Crgg2BDWts)Ykl zf#U+s_LMR*!vHF0TcXr8YB<@unumv*TU$BV*T0BRKCprV!Q(W4O*#DRunQ5<;&q0K z%^DA_?d=}v7=iu0RiT$;1qI1VWioaKYhoT_j_T?mA?8p)`!WxE4mzJxa2}DN=Zv^9JD2QI&?@`&Dvr9`%l-b7$y__p3@Z8l< zOkKRlEn74?xg?W{#BjYDW$iU>J*Zh^@0(-hl@|((dJGLo0|IuWLNB?WFMsrL`;$*r z6nDId31(&bLD#rHnQKUyBr;4JlTw(^>f#fLk&&vT%{D+9=_ zO0I29@WD9{{84t{$B!mK<3Y#Ck2o?zE=6?ol4FYkYf*OYgc=gTYcX68)_ZkmpRlaI zIv3r_r?aIlaQ*shXs8)K|9TevLQIs4&E{gDWr<;TI){tdJ`N?{nX}fD&u|7Fvn&;J z9SjcF8OBIqCYmUohdefhIGWQP4MsDvS&0(7dzrUaC-K8MTg?-)WG~oHzro7YD#mTR z@?Lr69ovaKPq{JrgJt^XELXhz-?Xf%@2${CqSU0NTnljv8pTzpG)~vJX7%2`qBik` z|0nJ=$U1prw1}veQqNoKojlz_Ntn$wmdJsRX#Y*yo%=`Xdo65!0aPt1my6#?j(#Jm z%`spLkB%srqQOC)zKF4RhK)ithHvsUSInZ`9sooZR#xC!4hafYK~8oWDtGww=~HL3 zN}4&%MfiZZIbTR`B7<6Sw%b0I(s}urP^`YGsetA8&!skVLU-<@N^Z_bzvws!bbeoj z^}N@UoBAVp%Am{=7OppQ+f@ydB))K=Z(tyujERXUhE>l`jggYie)$dof4)w`sgBjq zY$y(Qm#T)Fn&uFc)|aP;PcXCIqgk~%vIOvl(wXO1-OPQ>%`epBDSA31X5+Sykmn$9@|1rIqZ^;)9=0Rm1MbeM_);4?Zp z>fzx*^Ti2Zc8I2{a_s$+lmdL5oIU2)Q**j;SmLgaZL>(`c^cgN$Ig_Wp=pQj)Z`=- zx66600=yPu?hz5_{sJSLaT)&g&JGztb!Fvk%;vD(lAlDJv)_ETW5K1h)WgF7+480f(cdr3I)#omX0Cy#73TxVKb2<&KzG zV~?hr3m{ResTN>Bq&b0As7pyn2?z*)1LxGD(|m2_x}$h(W8!@0apUdVOYG{rn}bacFR>#01Q zdZlv-);Kw_!C+>E?BMd>gon>AEiFw=g@lLi0GBay49Y#nVVBK{wRQ=BUHB(Xh)YO7 zCS_)BEHpv4cXT{q3Cqed6BmCq8p4wvF|!k)i6fGx8c{`_|8Wo@PH#yV2lw~)1BD{f zQ%869RcR^DpgrJQ7Z(V*6>Pd+L#wN+WdybpI#PLzCBA(5Qd3*&b&4Dyr;CdVdd&&Y zwV7b+x7a&2WI$8&ESb$%s5tJ`Z*Ufxl6;=9#MA>wv_T)nIVCA7dX0er;ZIy$u`?aX zF>Y9gGl7wUZUUDsy>E7>nbj@DPWJqLdT0}Q-%aZx^@ADL+0`|(+nc7CHCr#@OA3hG z%w@ex5$Mo@9u3xV!lGJ-*A75^!04@kROoK(h-86o3G=VcQ1kO;Jn|4=eR=Emd)&jY0 z%zH~MM%jKoEXFX&LYVbH70ikd(<^1$uZ#iflwVj#!SA>RGq1rI-tJTNPWcmr1pd z{>F_PmoAMAIV}wq7%4`CC>sj;Gegbqw%w$;x3^bw@G5ow9!!xuGj7(}i>>cwSMZvj zpC2roTpnbGfd&U{PF$!_c#>xw`c+(*3BH#blskShYSnh1(X+dN=l(+WxKpf zv_!0>UL{>zTTg{#n$bd=6*()?pWeqEu?{A}Nl4aJX9FG?(C$;}PIA5w;iiHUXMSG>JE?CFM8 zyHjxpHN8&oHj>(QEMcw zaO|y4k@8|wg9Hr%|IUkqOZST)qly{{_=V^HHzD5N9`@tdcYVV)x`)~D-VvR>f^*+CrQqjjx?eGRD zEJo;FuUD_t!}m|E#(!2Jf0i4WcjLMYQ~!86WH&{9pyU)`{-)!+UKr5znqlSWpXW-O zaymB2c~BE`(G&#-6MsNe!&-ifHcVybP)lR*xLog-_LouSag}9^JSfwOul+30p8AYQ zg`$cRw5ez|mx%(S^tAs;ONH-V z>l?kI>orciV4PvmqulxM#7`+Kn4EV(up%7-z#n z?-vv&E<$q@`g?zjrm%~;=Rp`7MC>kV9XeE5SqUj8 zt8(WFJUm{9ACIl9R)I19`-B{R-7~Z2{VlrzAW*ETldjK#* zSvgFNF)JlSR6-)pwMTt#HR(Xs^VA#w@D)HU0VEKBTUHj9Vg?i{le%+6sQa5ONx_Nn zpVMXVULl8O=P5Cd`(OPnB6HC+1|+K5I>{rDiaHI~fuRS9$H>S?PEM|j!#{H!fL{*? ze~@E9fv|b;TL@?}**2id_3*)iHeg>>l$A@&h6Oqr@_>^9iV|LsjDd>I%g^sz+XJ-c zRgxmpGaGL=Uhg|QJ6l&**BDs#<8sX}`cDQPW~Tw?P|_6VW9|J7mo{L;C@Ib?==bLv z0M{+Jw|wWPU}sWZx|oookQT*eKvV<)`l-6ocFhdX$w^5`JHU$W?j|QDo;!Qi+TjCS z!_%jzRQXb1(_Gv@1f{!iqv^{R2z*FdTtF8^uy&D|l`{jr2Rdwir~^M|s%8~W)_JT$eRiyq1!PmLzrDY$Q~#RVd21Qun6hP@D8NFF4i3ku6w;Nb z+?O{tDlI2oZM%^f*4ANxB=`39<+qxYgeUvt$wWKUWEBB_@PGMJk;{&a{n*gZ5G(=8 zmpwd}5GKuNW*yJP1_GGC6_wOL#95Z86)}V~bs5@(B$M)3r5b&8hG7{qAurRrY^=CXf0PF(W%+N3OnJm3|&HQe?!td-Ot)iG|X$*VLp-95;Q_UhHI(fsJr)qe(nfW9L78c6-w#-yjG z8=IJ9WM;Z96!chHS`rZv*-Pg0W8ziR)YR_ZzYln{*kT+2l#}T_Op_ED2*4JgNs>cM z?sj{EFqomqs##ovm1Ux$qA-C^9lSPHR%X_ETy$2?BV`qwJTb)Dpo!E?Z7~2?C1&6rwP-S^299W^cKaD#U;mRt2~iB(vbg z$8LM$l>C5$mkb_1n3-&eDuWL}0SLT5gGM=*fB+UMtA$Coj8g<7aI4OJ}C1S({g>2UU)py)Scl_0~^< zcR@yr{))VMj6-tHLnNJeQP+j|1d##8IODY&^(Pit{8#awAlOxf(OQD z|Aq(FuNlmr&a1WXu`TQ_o}-@)ICpcC`uU;iSkbEii)vgZ{|sbHSG$W*-z55$l{J8; ze$5hHBJx+B+JF+_#ZXTrei6FG*EB3hpGweSCnVH1A{FO1EIXd}*c}*MWCJ!{W$%#i zw1+dBwzYJV9>9ucjB?M=V%!q1HEJ2NJ~iV#elO~Tml=+ikWye~48;RY1r1uvH zb4%j&(B1g9-YwtfCRLZ=^mxb5#VO%Z-OVA4jq?3FbV^BSY_)xRVmh#$sP@!avIdms zEVlE#`T6xX<*arnK-Y6y}w+3s< zxpU_xo#XSb42BVMaKQ6}*Z~Wcij~!BXKe=2EUUYKsf%{qTWNqWbnounX`WHgkQmj< zfyuzZCq;-3gvuBw&gsCQHRW8^XCDHYMpXwk8h65>p&`XgHD)~nDUniED&w#Jm`c5# z!!rc3y6`=3EIcewmAIaKdDqz~ukIG7kj4-t9^b~s&K?vJf`fwtC3ZR<4lBeAh{JeP z;N7u~D)I6Xv1?a$5n6i#SrP0r%gg4Pn!_MZ-AAM;742$bYg%~-;z|k%;63UsGSj50 zdv{$9hPw1-#IL;d`&_l{>#~cNT*gObJP%J1xG)L|il0solPi;-j2|T=r z@Nm#&6&^kedh_O{>z+O9u2SvWdp^XFEy)F(i%Uwjff$0Q4R)qU&W#18$i*M-+4%pO zBvL`Wd75Ssf5iME#BPKcf_wwW3sS4_>+?B=U36!5votDrczJnQSbhMHgHT;~c<4ft zh{`4QftwglNthuf#>TH-y^>9pw=CiN6~v_p(_~&!$SNvw+RVz&!o!4`uh-A}7#P~j zK`5-R>`yvy6#;v|pdMGt1T3uiXpKj`!u%gPXQ0j>**`n%Y8UxUvrit96#RojUG&O z(A{kkPb{lxqZqHt<9bU8xMvX0PTx6w{x7N!`(}=;_1eq7e4_D$D53|F9q57oX-Unu zInz+}KPajF8G(tlbESyK&L#gXj!NwdiKwvFAIz4_ycELA-=5H!^Iiw0JcqIBq1k3n zm&MLKtt;hYY)lRZI%dMv0;k>=#|WBnc*%ef2ZzN^8?ME-zhB zgo$WQ6)SWWkIMZT@#XxyKQjxRJMKC5?LJ1?LoV=ud!J!D=iX4=0g5^zIJmpN|HE!O z@YtI6qaLTP2!n&okBrk4;uOs!x8--yyLaybg9^mw{rlel41O@vWZ*AD7;~WhW@b|5 z=~h=)%VOGQ&(yqft;B&Vc-jXRi2oH1k4)) z0|UTeg@<1KeUUl3r%NFTWh{uxrlzJQCMNLJ&~O$ONNHdToM}hMgHVVj5Du+gV)+Eb zek>L%kJJ*u=Wl-LO;z+2K0{8P0fvYZpMFdRx{RxrS4( zdFkra#{l!7&X|^tO&6eY6#d8gF8&e<)q7jN%tuA)^+5u(A?fRPe!zS6oDj2My#*qI z=htOF&5_H3N)otN0F+Q>gO?^XHI-Njd`k?2^-u`|oI-mXF0&XHh6-F;d+d9oy2I(w zA3uJ)c|(Xv4y2L#kdl%;ZFa;H38cpVTxVSedhcmkEVbu3DB@Vz*}Gc7$}}tpiSGUT z>aS)Hy(#_4d5KS-j-7KSBO@y_U-+zE9D5` zjxH>?Onf=Fx-a}q*p9~C*TKn&lZ_3`8yswGTU%RyhFI;tdNMeY{ege5EOCZdAeSWB z7NxYYvB5Frgx*X9+5n12a40~LJXfpzf{Z6B@miin6HAm;%S$k}t}p0pf-u_+^4DF^m574iHNQYuBNHi?7={V02u_Yg-O2BOnXQN zNluoSA{nqDjn#PvGq#O}5F-YWQK2(#N3-AM90#Df?Dop6idTA?Y502bF9>XUN6Y`7 zNu>Oqv$wtPXWMtV=&RQmm>pg2EIR)u^TFEL)YJ0EPEq~;F)934TPc1^EdR-;{vY;P zm`j_!L$xH!(y{MZ=L>g?7Gu+rHkUfGV$k1W+rQmkI(K8hiy*liW)eaR7hCh2B+7p< zGesAbO)h1bm{e$}I<0rew6=tvzOQ6ImF0TR11WI;#!-tdmd>@DzEU0^zqGtu{3d{9 zZO|?iS`Sbo7ws9DA7vieU)N;NbQL2IBQ4LS zewuCm^fyuyWhh9TL#jmw>=5ZK&#dZ9h+_b{5P`)zs(k znEPn(DlWTYG;(5vi@yXp{VYn`H7+VxI^8j<{}W!F6FO2VdDN74f}WY4CP;jtAk(jd z=>*x^-tV=#cs6VQSkT)3wmdxPW57nr%V;v*_5bQaq&|F5FI{2C7Ct?#?}3;$gPAl< zu@UN<|6hv6(6Acwt59P>u>jDd`spE{rvc#%W^3KCJMm=wc-tM2uOTshw{!q2p^6IW z(L<=}Q$lFH`Cbpxmqa3CF#RgiS-w&R~8IDsI zxo(0!&v>yH?13NB($1VYLo2iR#RoSeB*e?zeOeF*UfFy1Jnp$&x!DtG!3sPAa9Z2goGQol4{%08q@zX%*UW4Q#D&m(&gUHelj@RK?k~J-0k=y! z_;H&iph%1az_3`CTU1oUJ&dkoGBGryV`3sWbxNbqSeeAnZKV1cFucSG@T#*7)<_pv(8qZ{gNK zEm^23`eidshVc|nY>zZ!vmLb#p>_BDCqDEgoahnGr(;X+I%W~E+~NTBJDe&apu z=L7z4t|!Y4nv{UwP*qh0G^o3i`UzAF@SOlQLyX-YK7eodyr64&m`nQTXCN`a*#Y1X z;JY*Q&Lkux0Jmaw3dW{GJ({pE)=l2W#|Q7k378I0LZ~@A^94b?HfM(PRX zwLC*aG&VN&{KX3oLSPn4?c`^lgDnC&1o+@?Sy@*Bl3x*itpv)wHwMsWR8$oCg$oV< zrJ$qA)58M*O7mK*tv>h)pv4EOVIUnCLx6-A1gG@i^>Z2jR8>Awt>Te{Z{2vB_*x19&--%D zyMq=&Zrjq_zcfG_vJ+19hT{oiQxbJXcMId+4bnhm-!?~PIi-4*Bd(y4F00ot*01xW zF-ZyYuVSx-&^GriPV=ds)BqHFHy%EnB8pEI^?lD`jvFf^YW#!BxZu7B<*VxRyqVI! z2)*dk*|OMn1sSDkVdx$&)>?r^7cP(nKZV2i2e^+Cc)eFssp7an?o3Al1rGy z^`{xuxMx}uC5G;MB;ya&r_jq=A*t01382AKRbaZJ7k-3ymESL99Y2@s&?xuQ*_B%B z$*;fs#^u}hpPxCXSV_i?nB^c9Yh=yF4Il=NQWHeNb3)E*Z6ZL}fp!JR7#eq!t6VYA9cTRMg_yK-GKU(Y^Lw?*#${WovlzKw{0l?Qkq=$}%E*ozlKyJ+3lJx)-$OVZq+qbmhE z9gEq;U@)nO!w6|A$dOAT^`+tAQ9%sSAA=9C9?i)Aep5aiu5l@7=3!E+Je1kxJI|`-!RP3c@zJ@Zh;Y!+Q zd#J6UX2LmgrU4`0zpI=P>O@4U>oMdlTFO2*gV{XBAoUJIGySD4=@t<^< z`g6FE|7H2>>IDT9&9!Ty`!k0L`0hV=pw(hwXv(@O3L?k@b@iywP%Z~cMUA_~<>h== zuio9CNe~N^q}1E3Vn09I;+~!J_twC$u&|C~ndD3G6f-@8dlMJ_BSkT9`E-6si323m z9T}XH=f4dM7_XoGjfnXC_adT^emw7)ntJG6AR{FOjt{;%J3C)Pd^ZftYA?ap-84~Z zQlgfrkk&jSm?)d7#;6HJ67Z4Mw80e>6})>x9Q@`Hr}|XbNTq;&hDl~_zMT7{sq|*; zUwRwbZMJ}m9OS-=5Oja*r(2wxa~iEV3hXe#)RBx$O@Rf#8ci1jElsVFSh@H%wQ~C$ zsI{SVg;5uJd0j5a+TPRQYbY}!bgjn#Jt>(ge}W1)!eqB0OQ>QamI6eq#-tlGAZklHQCa@KDs^Q>tDxOMHzT&0a~L`);ad1@=R+5t z&mP4iF5epSL9Q6ef2grFoL4@kh?F})EFU$vd>1=L;zB?7;77fWWEX-l1 zJrQWOY0|;uXvbMFE$4!-feQnYIIsn5^z_C+;wD|O`**3a_@7dvxiZnJW+#dHoBE+(!F*fg zv${iUNQ0G$n{v6}WtJW5uH!nqMSWU3uYJj@f`kaZWh!4$&a2mW2)zVg!B-!hdiJx_ zm^B6wZHQf@YH;+Cj2Q{uX-8g!C9#oRS*0Em98NlQAMhj;5RUkNs%U`^HFCOy$W#BK zqIHJVN-s`-w9b7i(n~=OdX#$VAJ7?PB8H?%x__4@jqND!+$$4lKLxrkLIyXUP}*y+ zM2+AgjUJ4Vg?$zZt(zymnvHG1GBB4k-Px=;gzC|%)pN+m8E5@sKS}YERz~_nQfCr= znVkNwZ1iYp^d&+C$)=7b$Fq~xt_WauO7O|)@bT9*a%x<*%)bD=iYPNJcX|#hiYM;q z_AX2GcXxv~UlE+0^RF))^6;?3&ML|y-6Mdy)SiFOEL*(DT*J$=bBe(K9SJKKw22sz zeF5;UU%xZ#5)uw?{8dr!1#(&>yMFuS014QwGyJHX1z=2$PC@n)c#a?7oKS0Q&Ri#Yuj@D zvuNvP5v)WPq%yJZSumMHBEsuBn;Ik1P>*A_3o1fD^d6Uf_P37|8ckbXmv7c{7Ue!=L;YZf#8JxlPvIXIm6ZpRhSJlA5H(K*3GBzpv)c0%N6{;#i)G0sN*mG zTWHlYNpn-=x57dCI_slrjEtEOxwEsE5eGk*x)%q_z@k>apidbqgbS=c2&$RTROhzu z>gKi|tt#ad;d+&xe*Sx7AY2;Gsf)O{xFg4)qRG|+Z`!-?fA*$%|L^vuomtPZcAGV* z`8U$>&YfZG8_)+JZ2=8@?BsbuBBET|g?{KMUaQ+Lf~*%A83~FyIOsPytG1;yG=_p1 zREx=S&A@&XqPhd}m$B)fbp=_5( z`RI`zyTE98uKs6XQ|e=EQVE`$6S1L`<6KXQq}l~`h!bn&4F475CAk$!MTYN!0T1+a z8z|%;Blq?y4UWixO${0`6%`a*Vel_pxB$AdR)hmv(FJK}qWF7bLhIT}IxyDYJK^WY z0Q=5sw+I40JHH#r>^CjOGxYs2j^Pf;j{i%e+A7cXf6Az4Z8^FIjn9C@FrYYKFi?&l z!g0CmU%Lz{hoMFOpBomM!)ARsht5MO0=k>+(vXQ`E7Z_1E|LJu>4N-7*=I9~hGzRe zMj$>r`i#Tt^U^M0bNolPqttCz81tL9SnZbfaKF%AHz@N#k=AR8Gyp$Qdb%2L{mF_N z??wJer|Gqv0i6W&`4x~`SarXC!)(Evt{zpHohnhhE}YZ(f54vA24&vNW+E@hb= zhWnhIo703H7npVGj~qMZ`lFF{Qj*O>l+)dEiX@d@0-E9K&Z~sZ7u~<5wgQX7^_}8 zUZ0|lPCV?4VsBrZm9+$QxpB!FSi``YK>>`4UMoeA_$M%ap+;UZz1=DYoRZwzY;qu$_m88C-_7QKPKAb@(_xGz{wg7EaVm4HD(#Rr)H*DTV z51s@_i!=&>AEm-+({>acB>n_EQrHgY_f3>FXyH_G9JL0e5pb9vrJyT-I50l|1?%qV zY03Fm1cJj$*l}ce8NM>m(dGV_{}fe@k%i4f5S2A3XdT?JRtF0w=WUTTOQHV^n0c8h zG39b-MnkWJv5mYW@_4Xn^ovRG!2p80a_8U$f+I+?s+;>Y>B@PCg$||-^q91_w@WMC8(QV!Z?D4 zxjrrd4HySjwHt>IAFi#fZSW=2b98m;f?1d$0Ckz`=Aa$Y#va&+g$5*8sk6f!pX1s= z;j#mN8xTmfp7^Te_7=|0d$5sBfAhWyIYl*WT(I3KC$qh?Be1tz3vDff5ORh6E^hCm z%Z9&Qn?s!%X_XviD`;yc>#sk48kafF<17cc#O2U6ug@C6?;qbYO?xyg#TH=X6L4Ei zj7Ze%GGXvoTDuB^&fLQj_wv7tMt=RIuY627TO*RfXwkMvaC2aCb=#cDw2FUoZ*jLQ z2W_`GVC9N`t_^lBf&L+=`C$1msTJQZ_7=x7@#jKuZ_gJEy8@||jOCzE*Ip6Dgf|Jj zy&kNE{YLym_J^~+>&{Bq+B#F2wDDl&>Qv=Yo(Z4U3n>Ef@V)r6llObN;*%OcBTYps z_Lk)OG(_y|^2RN%UP?^}5T~0FI)P?ithm=vDlksg;@F-hRvX1OpYXBkPF~FZ&wPr+agFa`o)5<2=}okdzgp4w7&8Ahp$@XblbYlQ9}EA@y%b zc%bs^9_v;KLvsyfFRKoJEbi>{_X*V@uLI)L$Be>Xag`xwPEDwpT&E9PxM0=3Vqn6j zINlb<7I5Ru()H(uCWD}S>buBA-jCtimFx?zji+EjJ>51~F%}>tdGzeWin_+`t1Um4 zrGY^CgG*2nqmJU4i9;aPWih11`BGe@1?kI#%>9_LTyqVE{+Xo1Q+7cIZ??Ye)f|a3 zpznDU+YrxcKzPLHa^4?30ggeTk76SSORr6h+5WLirp%=`ell|X=iz0wlHIno2jn(L z-;+Z>hGCTC6T~@%Z5he+Ry)nn3DQB;*6{VMOpM$qGiqGK(@UFDdk>K6T1|J(8^XY(v#7@NE z3Oosgf5Z3+SiB-BHPls9b~eyviVq)t8ec)SMu019ah?N|2X2U$pR}Q-#*Dlmuw0x1 z{=O0-I@t1&4YI&vCs~0(cKLv1l>vQOjRDk9d;{H$Y;>_I$?uBnDuIUGRiGOYE(IuQ zO{ax|7dQkhx>|6lXGNWyc8i8xRbkr{=t?Lo~ft}vT(GhL}?hBl@@Fq1l2zUUaZ)2f_5`r$+w@e4P0t0a&!k5C;tWH1^ zfMwyz@qM{a^NU-r?LQ-k;o#Yr8J{ljh5c>eC$uB6d zv9+Bn7dvnh!V_$K`~%{UI6;zZD%|}LbZ3A!#BRu83dp@cD?zwMcy913V86FF+f_|V zCZNuO4$Dr+*1F67Pm%4Dpsf%8`3RWHPdtBLUcLvv`Y&n>XN{BOoAv4CZWa zZx5OY@e$N5YR^ad`Y8YaT`F>OE%o)UO-VsBEYRU=>yVnElR(-CP={i2)&T5}!%fiJ zvepheYyq8?1QD3j9j|<$^oBb%{ zZ>R1wY)~9XOl4rcpx&{n1F`D^5vw<}>!lYItONZ5yH-hFCGr>8(Qk=JD59jG0Kbt7 zpqq{tu*X#m(6v2i^e(iy!nPgYqid;e5qkdQfN7K9c309>53rj9w z7kKpW3H35tez3*nDfe;@QypdD;!@JoGy~0CUOVoZ>H`Epg1(X{yS?-Qa2mK)c2*dG z;f6llRtMVGnpJ)7u4RC#U8uz99p8L(M(iUxDf~<) znkeh+Z$A^reHIRmNi&^CAE6l8o@rQW@2Q&S$+6jOiP^1eEnIQO=is-#mcQ$;5thsD zCBmC=5962tIcJgdiwRx)O~R<>FeIv6tg)>c+J7SCR_oWa1j zjv@5uzN}sE+eKR$+rW-gBuGn3i!vO;5H^JuM;my1d#{u~^f(_#ZqS}6 zH9b9DzPBQxtlT@*8Y9NQ)KgPpznYwqlA&67lk7|si(b?A>g4R~ED;eA+5-clIs0tv5D0`ngsAXImQ#)x2(Ex|~~g~GN33D?a5TNpFvi4eVo!O~)_O7~{|!@VEgL@-Ng1=Fyu$~~zf?ckvwqhiaP zcZ&)NWaZ_BQL!C{3Tes|uIH-y3oQ><`424*_O@e*^hw%h6j2x$nun(_e5eZt1_p34 zYBcUkNl7tjeLK0&Wg&Jx+M1f7>C1$UH){&#yb<$#H>&wZ;=N}gYlOHf{B3?N$W|2R zwTzv@!pcx9?yRo%7(I#H$aZimkJ}YsmJ3^t^b>6Fu-iMvS2Yyd9i$cpddp9> zX5*j9iTUyUk=)ap_82d&Qmpyn9>eQ8bPg&`Pxnzhx|XJ3xZ-)_r+Z${DNbP!e?Jzb zA>~Q1$Ncl)#VZ9ro1fynLfn4v@Z!BHY<4~tF|4ZxVyk^OLTtWBpk(Rod#H?;V)tL3 zEceYhdXZ;1@_lf$LIz&iHf_Zf;lqPm-tIbe;me?0n|Wn#fw9@ylvaH<ckOuqQQG%}C>JiPsg~&I z=xQ=or?z;pAcf9ptJR4{nUoI0+@0Jmb(TFpp8EN4UPqhp8o$ZrsC?#RR-;aG3W_%# z9{EOH3_Lte+bif4g$&(i$k+$;zqm8#xL6uU2m8D*D=|@jcVpfImn^TuMOs?=@#893 z?&rz)RORJ^!o!WlxUtR?BO!~;q<5|RnJ)qBl*v1CAu5STY+T%~3^uqm*zTF7aA62r z_pT`^DZwX^^4NXJ&$n%k9P>Yw7+0va*|5u=ic@zh0x08X1U8gSKm3fc=R~tYbj;rovkrnm2#KI zk00ynCpz)oy_@s-^XFQdgN^+1?X9i3p6to8<3>Ye&SGNTXopF$hwY=q@<+(ynb#k+>&-rmJa{klG{qgg!t_) z{ksq~cRE&9S6K;h{&hRc8i(r|j1ZJz#Aaq@nxgm*dyO&(4ss=;_*C+Y4fOR}7(?!8 zJ$Ufo+wZ})TOM5=t7h*J@5?jkFkBC1HBxt&rl`zN@ekq7blRBf5ed4@`F!}EiOKxI z{%-JXC1$-Q;yiqK{G|`Wg5O#QOIGUWjvYI8xIc90e6VM)p)vH>>ngzkD?Po*L_oHyt2&lBE#f{LxFsj2e9S84Mh7lJ4i!RPD%5q0SBz}D!v*SmMg>FJRy zdg2BKaJTwSPCVs!$l8;%IPofk$9`qJ)?e%($@TDH$YnQe1Z6Ydt8?_F)Z?R?SpW3s zD;3umJ{r=G_K9E13q$kGqp*d*u5VK49>R|-CmBu0N8@>qw#&iuxKhQs>+@@{y~cfT zBT+;zSUNn?7?b76c>FH$7*JW&}jieAmGj4Pg z8>Ok>8G9LnSkjE8=lF9T@!MF)4gb&Ag&&7IdVRgo ziu#+u!B`{)->WyLIHiBeC6rSJjlPm)of4+(oS&DsFkF#hR!+j^#1Vqb+7gC21j76C z3L4hpV%Cd2GU$cDAI%xg+S=Vs5h!w2w!5lgVx`?FUAPq$!Oxy9bavLw;yXCjdlaDQ zb}9!1s!MT#74&o?&z<8=kk{C**C3pot>r|XLD-b%a__+iZt!G7D|&LM)V}Sxy_kHE z=}_4h#&V~*IiXz|+GuI%i8-4yYDf%ERyDz9P^zP;k)ffK zwVAU{+t`Q`@h(5uF)}wtqbN+UaD&K`#@40*S#EcI{`_NSCrr>MKs;32jxm3DxHqHB z&=`W>a*KYtj_zQ`v}tgVeA%-5NL}EWGq#X*WR#RbJ`7u$al@y;y7iW))2w)XFd1gu9AN{NL#_E{qrCA{Nj5B7J$+=sLm@QG&eiA0i-6{zSN^Ot~e-RF=y zv;Sz@OknF9lb>;KH*CtJio^J-4SPJe-`d)G{P>H&cM!&2sZpS$F`nNmZPY&Zb(tfR z7`c-_MWq~FZ`C;mCnKY8Nl#U8t`RGtcSc5rKupgU ztrPyp@>p5|(DQ-Z03x=!y1Gifsa#4&Zf-6XE~(S*d_JH6aotd%l#clLc$cLLto@yJ zZu8Oa?+I9-V2O0uFMoEr z&Rn8=?e16jiEkB2iy*I0I zn5?k{b;agz3_8zrl@CSg*6MPMvEvT#xbP3k?j^=`O1+QK~s~MpyK# zbjJP{lr)IU26dsz>x1m#qM@4l?5r%n;+s%KMe;g!c63++np#|0hbtIIK;zCdp9YE%yUf zCB;q{ENuXdbFDER1-Asx^6N5ZfBWnYuKx30sC>#?K$S&By#oV)gtn3D7^2g?dtcXQ zyCSrf9334sIBW&V4#Wl{4VYFLBKeI>bz-i-(^t(I2zq;ZCVzjlf`LIVoaRFhIT;s& zxIw8bvm`Y&6~Nm{=KWk{sNWbEy9{#yCEM?>cR6k@4CEShHS_JJ*2Y_HqVTU?zWhi) zz-IbqRbO8*U|`tv?zZ-8t%8B`HP$iYLa@Jf))(2EcD;xCcP2=?Fh70zv_lunsL`q5 z?uF0D=d|@)POifphloMFgyY!{>~r3#Wlo&8Z#N{~KWCpE?mD|VY;2z$Kc1eHJh=5fac|2^XEHVNsD7+V?(yh`ts(}RPs}6-P$G^`#sTw-anSU z@9yn6c?(pQAdy1SB0qX~csQeMC;8K-`{mj@YtsOiEueCAcHRfjOeq#h&Pc{?+P^SR zY-D5vYvOM7X!;_9f=!S*En zZAD3#9XYvNtLdM*6Xn0)I@5cNfRgEHQ9p8n{{qC127XxUhU-U}NyXW&4BRtk{P>@z z@b48^pzC1R9UL5xKv9Xy9}sMm+Y7N47uVN^gxiOJWdgQoP@kV@gkpNzG=#-vC}WC? zi)~@SL*4(gbSO+GOOe@r)nzP)xExiu-pHF?$U_W!SEJm8l$6w$cjM!p+S47Xtfy7) z;EI?hPc}sI78eyMs~9 z!vWA}vAS-6LEId8`70X`D89bFi|t8m@2=3nS`~coLif`s=8LQHx24QZM31|0eB7g8 z5n6txE53m6E;16l>o9$M>+Ld77u_&J&^rfN%y-s`GMH%p3i5QoK~UDnS$)dS&kB$Kih#Q3<$nVq-zz-P zFXRHqCMRT%U=l!g5=l*DYzj2~_R*um-z|nU*2ubpGM+R4M$ljR8ale$2ayjm{_1%| z0sS$we}YN>Z>tOQrCVAU#y_8&oqN=QqLK3~DgBNUnRAKLz*e|Q8qC&8aivC%$%esCFgX3qa~@Px(&L9A7jr1!Z9f}2$HCl4hB(iaFtfaS`$ zR5<3AFHxJ;HXZ)QTiwMtIf#5*AMspv7mI zp3q;d42p8Y!W9o=qrZJS6|%qyOl-T=M)q~|@;+&Vda+HKK+N*`dWZg9A~s`v7h@YU zBo)7)-rBjFgDDcsKms^mq|&3lzCKskL`Me=>?@jopsUp&O+G9%6d;Qn&@d3x!oy3R zRr^ew)ZN645F0aF6S;ZwCd6k26_v2CFruqh1tv=vfno)Yr7J^YC|gfrvMEBv;Ta7X z1Msn5zAORH@#f8&3l}a>F0ulmTP)iZPiQ0IveJj3h$1g6E~cWOxN_ynK&hjGx=f)s z3ox}&{H|r`=?gV}w;sA@d z$2SQv8iIv4Ql}L*#EJ`fzE@Y%T}t%r4;9zxi#O0U%TF6pZu4<=^jj$lYSRg@*)I)f zZtCyXFSsAZIe*vEJqOR7)&HJ|gm34gxH-}~Y-;wX6S_}ydIKetddLDcvle}7^QNT= zi%W*KhZqdMkG_DLke8F| z=>!4K#GU}G42LR_3R+$b;afa&C;@sI(C;hoa^MyxM@OHUM(AQ5)>1{SO}ACIwXtw< z?Et4vc|s;VX3o2gqE2$Y&103kKIzW3;jWqMZXd*FQPb0w8BN~r;)14F++QknXYE)A z5Pb`E(HY7K6MX^<8|V(78&G@}MqGg=VeuiQ19>h+V%!_kkL`6AjZE8!;??XK(b+7V z?1UzgM)4%MT%Bbsv8)wCUF0nf+G1FxXo@}FsG(XD4O$7LLu~vddJ_4m7j>dYWLDz^ z(F;FAw}%$~m}J(zK1XDdi>*KLI1;1T6!a-5DCA^ii8(FCmqscf-PP9C=H=cJ`Uz}l z5o{C`3I)izpn$`EWEB|tZ|4{#kn8Vlq^=4;K&W%fEq38HK-{Nzd;9WySV#HQA+$7Xi2FK6K@?U(vR+ zD>#^F`ScJdaGgeyQZWN@x6fodL5HxrsL^ZA1gwU#4h}njdr(0^Yz}Ms)%^YF`e3xJ z6A>XHh%cgpvxSq9bhmF?nVb6!b)$x?L54u8u7P6P#IU_5zH2Ai%vE+KeCz7kgi55Qu8ukX1_(l+^W-R-JbCiAP#{%l(uDw1cVYTk zdQ3lF=UPfoBo_}451ZK_kL!Wcx#lL=o2y5A^V5(r=gql3ulEbc+{Fz{sn_Wxgr^1& zwJ)^!1hQ!RSM>4TA&M8Ii(#H2ov;xK67(L>`}gKF^u#eQZ;P?8H9+r~4dwJ1xy$lJ z1Vzrzv+^omDvT(WWbw5K<6c9GgGe5G=`wXm$!Pf$6C*)XEa3jyu9W0tWT(vneyMnM zs@P_}2q;W%BKA;YL3O){27-E{88cuX*FluZE?2=mR`({Ij*Ky-E4Nd0A zRF0RI2++Rb31PgBdEyBvNl6Akms|Q+u=i-ra@X-{eS7`+^UVnHU_U?e*-rZ8sa>u* zH4Tl$iHSUrzS!CGcXoDy`0CP}3hujMszK<^%v^@O4uap^P4$8U(QKe(MNn1{AJ*2a zBE)T%N2|=oeuSu2GgM%mJV^|SA3c5JH?5nM)&Zrh+J|?~cJMiKG#eK+JT3!vgI*=C zrmNB9Erg_;oSg7*;&b!VT?V?nI44*(t=@Ms^}_Vf6(1JXzbGTbroMUm2Z`M1{{E#+ET%>VhBa$@Dv)@#W zI)g&Q6d(@15SUUp(fH#oOTZEcq zMB8pwMA*{)LqpUTF_+K=-#1qKAn`%D_uj{cl;5QUhhU-QlN%4E4itVHy#(BNT_n6Q1lH=>&gnAU%0+1jdJ$eKhN9Q-n zjg^&mK0Z*C;XNg4*r-h$Xc5ujHrmbAivIy2TUCr&sHej9?Kr&i`N$SMH$53|O(0(;#mc#r< zym!2+OX1aBpot%7pcd?v(b84d3)`_&n_W~?)(59h$n;R_cb1yc!8w&N>e&bQm z_N7RTaoA->a%vXQ|_~#wx{1 zt_K0gxPJZKAnRbECDolfE#svCHXuQEwzNoUXfS4En+@^p&)x8KMsqb{yFdvDu))X2 zC+3a=i0r?-06xCH>|A6L&EtQ>wZ{2MOh1*7cY2Zb@v*VvTgziR`AcG^Z=t-Z{_z9I zkNm5o{h`*Wi!1^!L!7t-W~tdFdY}3{;LYB-Khi+e9)G zT1I{Y3tc+*-G8Ww0$ z@C7Nzes!W}lNte0xytj9S7_VFU@$i5j)LTG% zu;!g*WdmFy@&_GKY!?Ti@&>-;)TvXTvKVLW@7B4se-kWJv2_WU$0p(@@ePBe1X4QNA#LYtNZmyAQ@ zC!hM<);1wJPnXM7R5W0d0wL!b8%xc{1WZlSos27d)=Ml9FiA^0<3Z+LqqS+L)E^+W_ZNv#i5W~( z{fyml-nsj_h5tMqE+sKgA^Znp{A)NL`|tq19rAK5Tz{7(?COhHpz=OFj|LdB**!afu zgPq~|b#JslM?K6Uh<0%=j=qB7N5BhtxzEx&e;Ve(&_lHjpdRp9L*}z;Yz5FNogo!F zmAyniod%QuluI2UxL#VJlMe#0}n!~+G ze$XzF4GolFM(Kt!@aAX_HYJN$tD?V`?DCN;W-rm0D%GCoFAB8-pFLXM@Eu5upOonJ zEZM#t&>tHy48nH4>JnmtS)1xfp(wk5LI1`IXP?D-UlCbOT$Ep#-2tUpbIwP5{N^au z{H)fqybc?m$$Cu~NEuiuDU-*O9Sin}*v-|#8%`4-V%^V$?-aJ?=D+JOD7gX^O7NOa z&^Xwu)G6Mg`I4_iAJU<9(~Q~tm$4v1C^O(bQb76JR9ouTrtynEVS4Cto#zS-9&H>g z^TTntN3xBYMb0|0UuBk?h$$l@BNmUMrR(`mxwrW7-gpiv9s5<_-%+rb1z`yzRC|Z| zm~rrbukf#9mt_*a5ZjtK#;x4>bX)e%MdXhmMa1s_mEglylho|r$M(bI52Ks-JfCNF z#l2Z6Y}Ry~zeGA z?Ho%e$pE;(9K#KP)r*nW~d~~%E}16bt~O*8eM(qfw|;%&Bh$0Hgkr#TfnF{z-w7e%_T4NXybb#0z5OCQctBt^=xlj>!eh}NQFl|AJSM}qE%~XpP@X$aD;!|4$ z3dcz&VIOk1>}`2oqJr8|M^{&w&$arDn?{bll&ow!OEb?HAg48|gmy1pHyui!%dk$nk+Ui9pOM_#AF9QZwjRE zc>fv-zhc|PIt2?$%b3rfjrB)>9^i*s63ho*v?`4Yc5D5qDl043*?|~_C!sM~m@*Nz zB)nV&96vCFuG{Efz@-49+B_mcptOX<4_*?+r%6(qiHCE1ib{Vhly%QPkl9WOGlSXUrNDw^Gp~Z8;?(Hq==3yA z6fsquB+PXk2W#Q8i#mz4xA66;Qn2NsKPdwwlaYz(Fodf6Bw<28|MRop*5ZL7%rR&i z7Y4Gk*F78dZYei-PT)}imzNwK?24sl@Ju2WEEg9S6nSmihw}16kO0!`^&@B+4J0og z92`JK<+fb_Y9AXLJC=$&`wE>r;+6p*e-o46s55nLZVsToFaLoZ?8Y=r%abQh8u#VV zv#_iJ-Z=h-odQA^tM~+9Q;7mBUqpCGLJ+tQKIn1KOIIg(X2WpRtG z^W?d{&)9N0|P_;UNjs}%T>CQ|F+sQVNYC=N^g-|e8=(?fm>IBZ_rUe|XFfg7#NdX%W zHZg#N)~E<2@OOZ51MSOyX48B@ER0Pogt@A%ZM6ztqd&*Mf2!}Z>)`?9lg8RwMA)c$ z2hc$m#4|`+Ff1EjN;xTf%U@QLHc#}zQ4D!(v{7k7VL7#L=-&Vkp|%E_sOC33@nX$!XL zG`DYCY5d){|FF|E@=etg6%}C?oSjRFlVxz_y!^P=IZONCZt~o`ISP6qDYN$5 z;NUKZHG}q(5id_*Da%mRvzEKmf=>ybUKx>Vd6vIlfAm z(F9!q)Dt?;f;~OWdvoZJP)i|+YjCtD)76SM76yojiB%O9siPw4>)a)gJ&CqH#jVu0 zAq*I{yWI6qgt3&og(Gg#{ykS0tRK&Q9Ea67s;dIFz!329Djil;`C*$GWE};QMRn4-jFKWdL z4j_;**hYxz=M&LonW_{k8yA1y1r34gvtLa0`1v#Nd0!$95|T*{3$p%Ca7`cY*QjK$ z8J>5ss^-7hqFPBoBY`3ps`HN>IVpjlGyp>g3R=O!;{o3S`TnOdfWr`?pjvD1>Z*5z z+U94fQg)H;;==4~A1oz+uuA%q!xe789h^INZWcr!pi&2&R>hp{oyr9hy3*CaBI)Gp z%u3h@+*;@%@%-R*L{yLh3&b&hU((>9AjFaY3^$0UL9_Er&wl9N4TZYr-Me=X+JRnC z)YqQ=83*hiFG#EQ_J#5(X{o8pcWbzw9zv); zfBqaKddM1^b3JW^mU=tct6sjoX2zEn$0Ysy`~Zr9d6o9|?e}lr;!&^s7@7)x^f!L| z0-YUD5KvY_e23h`Whp34sT5sXr;2NSP?7RpHQEoM^!`G-AOB+oJFBF2U8$3zX%X+d zBMba`%&|Pv<*>I~%OG`khfk_nL zj??-~N25@{0Ff0N*g|hpQ=i7c;kBNT6&61GuyPu^d{Q+PX7|UBAMdX16#0r)TiQquHt&R^Em zfi6cp_t3UzY5{M;z{tphddBkDxHxZ8-q24Y4gcm!FSwOEZD%*AiWNmbKun(S0u&N(FMMgqGf{zbw-ZT4F^unl9p{W5CUd(oZe&_C5M1dXp+qVbc zq>zx1*uLUJ{ZNjekb10r+(#(jQ!=cPh*W0Tm+ppOBmf#yJ_YB@nG5>i(iWAGd1gbA zkOD7XZU@IcK+rUKBQ%K_ER4OaWhfPD18uCWb8+-8**iLdsPPFH1H`lomVXnwvEl!O zMy|Nuo&fTDQ(o>0k`v%WIy$-&Cr%I?Z!)fWcLm6$fg7M!-LcEW%+MNt>fH|u zrl_c>p`n3BCjKp}toKcP{czgdyrU-v3_$=$UM^00dv_N$UN$(9A!h+%5;pCY`HR8# zTPRl#0Fb+Pt-!YgY2gFz``z7L6-y1BfnDJD0WMp#MBhhj1~|)L#eLqf{c#7 zZZx9}I1@G_aDJ~}pM-@Xgc5jvO>6&x#Y0$Nybf#N3hF6!%*V0)ZAuOU_YW8^Lb*f1 zk~V?)*bmk{KK|s`*d_4Q`})K zQnCkpb8BlW_OYA~A3uJ4{~jA`KB}rb;J$fzv#WeCFe6bm8B9LHD68SG$M!`$5b*Iz zolLb}%(7LDc{AS%S%4MFI`Hs1Hc7Mf z{~f-{;n-i+de<%g`0?2}s!a51(&y|8fP1z)cvl>KEc|U=K`YC`Fsct+n)s#qH@@u? zUr4p@c<>(%XNoq#Gn&PZ0D+xP%nva>#z9(M|4%;Z|Lb1ye>R?c^(?GAw70iM%;M51 z&xHQ%W=T&;xrL9BDytfP|Kc3&pRwUzT^Ij+-FtZHu^4HJU<4Uy1S_+D{km&zNtp;J z1!_fhxMT|;_V>m3qSO({70_>ISIcS%uPw8a4{Gbo7*f=5El!>Lfv=&u(-!s3X2HsJ zj)@r?UJ5SoFDC*$Jir}yusV^uyqqK~JdqBFteH?yG=bu zowrB8%2+?81S@-zca%nDuF?3BJ&}T4^VRyPJZbm^*dkC5kvj=8f6DoM$gq6xr(^dW zu4sHgQaUUxWO(zy9YO1vlZWy>Vl_zgE zmqvUS1{;52XvC`BsOoK!apYAwx<}-~fB4zW_l7*tz?dNhUc~5hPx#o2+N&6;^o3C5 zBfkDC_aFknL270JFknc)#9eeD=Qrx z*cxsF65-^uul6Bnw70P_Yw0cAT7^Jcy$IWyWTXG!)mo=>8 zO_G%$1V$mqn|lkzi@>^oqK1h}db{N}L)DXC<)HS7IPmzqHqOHy#!8>5mX9IZ&D8R$H>}GNy1j?NH0uLr z088w{-!ZYUiVF*&wx9PKLm@+bApB8J>3zLy z@FoB*fI1qCMscyRckYHaEjcz-&A{v$8>hal$WX~=U}MXJHlNkWW>6MN@A;+3(gq6a zY*jA8@lpC)j=ib%UL3qWItG8@7 z{EYDSfJmYe3mu=F3s50(i^$tB49=H}*9 z;^8e4U7yL3{7q0o?WRCbc<VZH`-Hm|?Gn{Z!hYj;;cULHz1C|H^A-1%^0 zxIsQu(Hy`h_&}fpgVH)HI~(k>!0?VKW$Wg?YP^7l_XUbFR$c%*)?5Ri z1XOzyQAwiSgr#D;;TtUx3NUomn81QbNdX`q&aCrJ@nHwG#mgT_S!R&rky=GO@Y6eH zS&)>WM1YM4ePV2;{nSTP5HHLsSo`a`fHR|`rA{U>{5H-^>_F)CbA>U`(QEYnsmsagx+z zV8hJc`g%jyttJp!W)Ur7YHDh0%TAAHzU}D}3}c%uX@aAe0bU_Hqn?{BEQv`I=Y zx%q}f?BgBikJRc&mP3)#gQ^P!W?ruUhYxpj8?J$H0&N{9F)<^dhY$+ItM8UT;f4+% zduY{wj0xnQ!(@al=6Pa$v54CPyR)OfcdO^=ICE>nGLehwL@0_QnXKhW5WJ(9rY9OiNQCsZKs%^g$ zj#CDI4!IDNxh>^ZiD_w9D7H?WyJ`$2D3qrFdzqM+#KUZk0{zLE8)AsIgt+&C832n3 zR4(YY?8iNLM*z1ZU6abFPkkC7{w~ye(8+(KK74s|;8o_G+zH!XTtSh`DOR-&b~4^@bcw)Xe$-}}p)iy_T|-IC0C%?~Xp1{~Gj z8ZGzQB=~=ab(vp{;sUP~zjJ(dW`yFtFN9J2qshya4D8(4SZ!EjHwDyHROsrobv}7; z*iTBiQ?=AIHZ=v6FQ^nC%t3&;A#>li(sX?i{}QOE`;Ai=!nxaG^cZJd3$8tnd^O~^ zzwwesUxYsfq~DsZ^4dNYdfmaQRlUYEjCe4>99r~!||Dy56j0{`99-t2F_P!al_q8?ub)V`ZZe{Nfd(s{np>%o<4sSkIyC)g1VwkS(0*J8vyEQE z#|zhxFAmFzhUDaA%08x&ZD`Q2nrRnGXyb(p1^c*e{cy>Hf3Ck!#?=x+B0!Kjo7=KA zS4q{9N=o|M+OlhlsZ~g!3m=H-HTJGF6?VYEyAJxd#MrhpxhtWmYP`OPHHDCfXq`O} zKjz9>pAJA1hz?)@eIg+-d97?7egElAp*!@88Vc|Mj_A58TEYuMH#7cbo#f!{s#j)#e98Jes?vk#P$nvbPk{d~*5h^vFue zA0L1@6@dg`LIH#Y8p`qaG62qYC0WZAE)HMut5QprS=4S6WcVu1CV}Fp+yBC(&_emY zLuz$b#H09lHNRr)H&R78tpI=4`8~-g`)d}cs(aAL0?ZA#5wdUa|2`F92x(kFbF7yf zd10^p-2m%;P5JG=1!mQ8L}2#q_$4rVmi?o^98mLbfq6&^1Xw9uWkg(6)mi$CJvbTZ znEvHU3ml8V*L}T{Lk^P>B<2m1m#{G0@Ihi8yQ~8=>O&Oy|0A>(#uE%}jCnxn?1Vw- zlaiK}yPLhVu>lswhpYL z$~9oBhUTkc*bE~#qkvAev9Wt-xNTC! z6NSzxZg;@{bx53P9cGkD2}nV!CO((E4^8X9-@HZ+bo+pqqg(sND=F#rFO zo^A19Z--t&Axc3W90?_8?eNSKMb-6Cpqxlr*|7q#6>%nac; zXjSy__8urOk9j`UPK`WUmKg$3fmoLfG=IkoH0li@)ZfusS;s%2HA>$Ppn~kW9&Wm> zv%^U&>8<+YLWY1D)YKwMB<UA2(Rv+@f=0B%wV~T zW(<(WP;|vf*Rr_X^ZPfT`w?;-vw}_xni_%Mg=%DZd0E`HH=(Kq8eS6?Ih(d#{k(3c zgNks7&%IWd?W>AZRl}@roq&yp=!t`av%bD=5suVeX9p1|SBlCmznOKUPJ?K@E54CG ztY$BTC4{0B{rKU-hcAX5v_hz>jOt*auf*68Du;CaO*YyqsSD=7kVxMiBL$`vu z%QhOEJ$Cl?P{dA0*bWkKJdb9z&azs}2tZV+*3XXt{MA6)uau$Y&I5>Cv^GsBLG!qql&yt`Pf-EaJo?KQLAOLy9gx81eMzNp=i&xiJgF@~04=0+N7!M{K*1S@)l z(WNIE9vhfl;7#Ck5cokER?d*!7>*Ds63!a}7b`4kFTk1rn8Cvy!D9~x2@wzwWWX66 zUm1w;Jg#CP8_J8tRITb({KF@8U&EfP^H;U|D*t;0Q4qj?FIZ-jQF|_ zO8-AF;#FdS7r&_7PFHUCRXvq}J-RWlzZ1Ytj;`qH>^#fVraVR3G-MfY;T^Lbc!%KY zR0LIE#ksq(-dXgcbf4w#0F^5)hu;)2-I^V|K6Dy~qnQZHXD%Oi{JzQ#!L;kb?0=KV zXFgzG9c`i%ML5JA1aHIM7Il> zE}mHDUH(kq<{x;3!g&Yrz8AmR{P}9Lgi+oB-|Ktwz_glI;+SU&Y+8dQcX@!sV z?+;`*FX}87@-7H$4wMLyBKHmRMmSq+&!X8*eZ&XDXti|DZw{Ul5vRmOUJyPu)`pRb zd9XW_5f*A%zB$-9-zQe><9Ea{!&4~dEu!-VzZ@oi>HTu&;q&L3#>U-qJ-Fc<6`MyE z3z!AW`+HoblbZ-%{o>Ld{jW2qev`XX)rUihq=baR3>b-*@q+~rJ>g6D@fW=)fUsez z7?Me4d{N~3wEp1Tz@0IN*P{X&hH;A%b6D;)>i3Dz!_5K*vJ!0|yyOMnS_S<}B9 zC}iMb!NLQo)8asJ*J}6OU+sI>mSESfw+10lL6Jb%4d8Q_;)ItFNyQhyvQ# z}?37$k}Z4^sFJG*iH96 zeS8>bXr^aoxZrFe zaC{b+L_mu^IB=N_8f7CDh9hI(Xf>dQp$Q-8w96+h*z9o@~9jQh_N9@3}9cuH44W~fw&5wFLg5tbir!=gJozgbbIv*ehW_7;Y8^y zGg@dcVq=5DJK7!O+R6&9xDLQ5LvJ7$M8zoEKo^e4=kMm>;9{ssR5g|0!wQoKnV6UW z)-$;H?F}d^K<@0?n1%cfJ{qj`7G?&b5|7ljp`Gl>G2PbNg&|!7mKS z5%@Fautu=r#=eucUa4=$8H95)8X6l(n4UgTSC7B-dA3Tg4{X#EfI%;Fe{0RQRLUIpW_m{U(LXZRe9XBsPqc`4kQE&5x>&w$h|~XPuYJp8H-EXHfhg`HYLC*NfmFK~^cx%F0?AAvU9u zq6hB`6nM0I?C>^!PJAElS)q<(=tw;bO;Ag@BF#f=mbRhH=xcbh%lLF4jT+iUmIEBu zxo~(2*eK=YK@QQ;(@QBSqT_PfS^~5T@cH> zf*WP$U_@D2IW=QzV|^V|9GZpoe#_>L&Q3U?KvAPRt86AbGSUU;OxSmVeRle_C<>$p zY7FFUgDi8)u`3>Zdg>gVkjz)TYn5dqX6_%3}2 zrLr3}d$1;^p3mi9;C|3pu(I*;Q%YCj}7~ev^s)M^VF#M*~D2L zgJ5JM7ASg>ByVTMSiG?!D4BR!n8}m;UYL!CI06;kOxURKglT^ChS%8r{(2%3rfy^- zCaWIiiWSS##oBQUs?X;tlXg5xU&cehTv^d9zQiI;OiIceOovkiy}V@X3B*b!AyRer z0TKn{RPG@BRwY}v5kwa_I1!A-^uhCri=g*^UZpoF7yo!?1kM4KB%9P+9iA${48vNP zR_D{9K;#dY>HY#P5$B6;kO;5+@>BJa<7ykcvV69m$ME4?(+}={jBP>Tn0+adkCP zH35qAxPl4fnY*x=xP*)Mk@+!a#1R*br1yQ7AQCuRo@dad{pK=mwMt59XMId)4J*+D z9XFanV0ghBWl%cM+IqvWYav?rQI$q>1b04I5+Pl|nVkgQKS1|Pp}7E7p|q@h5dFC9 zSxR;;wn~wbSDSq$l_jl7aNN$>hCU?FDiqzUkGZD(7=W#{=Ztg_IN1s zHC|iM#_pUtU0jkqnEe$~5CLjLR6#_f>mNr*l5%uj8*$K4#wceV*U*d~fqu7huPxO`}kMFq9mSa0p|z z^rA)A0jHp*m*o!Wk3-dk?V8e2z?l{YW zy+XigB{Lw+Xa!UQkxOgfk^;32<)w>rqXSM)5^aswI>6=rb>{tnp|^1)RZ{-5%XR!` zkE}S6NwZBY?46}W{`h&}zwX0+_Wh7EM^+V7ZGX~Qoltf6{kd~p>)3n8g8a_x$1THw z_4@8Z{Vn969DUWZAXFH^7fDI2OKM=5 zRsjRS28Xm&EUb{vZ+X1Kvvb_%j7r&ou2R_uV{Y;g`XH9RFdC2Zu);+Xd-kYMXq$_4 z$}Gxgdd&$u-=PFC08vg1Xwc~BztId?5nb9{9#`5EWKxn2Hm|3~B-=m(XJk~0ETi46 z=Hp=sWHfJBDi9`F#tu#87>ln(FGvj3&7_n??%9}@wKLxXyop3;iQXPcsnznl>sx!x zngTUj+GTQ8-A^Yan40E`i)QbR7)Q>9@R;V?e6{c8?q8}2ZF1W(;uExAHpVA#EsJ4a z^Jxb&4~-lQ3|hLIsoF%R{GQgZXx*wv>jN|mHR8B&TgW+O9TWoqGDd2-+Ff{jQ&TtI zcmc_0y)fnd!Kf_<;2mgeZ0zWOL3@MeD<#njJjqA{ZEI-g9S-g$g$#yv0;=ZmctGvI zcVu@@DYM|z@Xrv#r_*sEtHIrWY?xq=}p6%q0hqo#{5uIQv<3GYItR3<;M`_ z4HO6>*)DqiUEA#JTG1=PMG@jo~-KX$tXNqqme?qrlny0*T3B40GJ$arZ)COGJFkl`co9VBdrKnHI#uQNdOL z4jiq-cF_c8Rpp#vpGPg9OlEayw@4^L6sjvMqqrpOEijR`3MKoj$a5BTm7j)GA%3by zB}Zaqz%~%T2no>n`RRO9d+)P zB+`nC&__%O73Cm_#1Mt_9 zBhGZpF){J!>fRN5dHe0{N=1FQe=7QrrEzrpFigPXHd^9qB%bZBatxe!MXOtcy^ z_D8qh*eRXkA-!E?Y|>Cn-OI&XTF(830kQa2hrzdYLsMHhY}aaQ$NXS+-LuTEly`-k z_rJ@r)wh$Ye6D4a%ICD`q#d#|jIDG1pqo)-wvCQXd{EnqbjNS&cc0<6UH+BHywf9L zda{vG7~9~oWtC!iw)$8)nPQl%t9EY(4-MaY`r&%(lC(|swzM0*Trt?=#I9TU> z5aW#bvlXD~cviy-DV$rWGGg|Ic7V_dl`o&OGNvs@(m{%Swd2%8a*_p@p_&sd? zVz6+IC9$IZGuQZWiOSV^hos{5Z{0S$w0n(2``6l`tR#Z866sJURQ@EbF2ByJityV! zS$V|p;_v-M^gVQ^ZR2(Q=Z=RNCh;U%J-?le!I7IP+iAM`gSu#p(EixzO=@d~mv?o0 zoR~TPLnu`#oN?E$ zF9MhT@F5&P{p`739k+@<-|1vt4W*qCTy?UKv)m@$oYgD=aUFAHs|?r{JM4daPd zh7I;2x0<-*grF3eg2==aA0IAXHd>cFN@Zk6?b8szy2R)iw@fd0{t>zC_QY3PidCw8 z2%QEEJ?vmRmG|LWejx$`+Bvq;6%3B+0^d_r^#afh`uG^9hUkxwHX-!O4R$hk=#D{U zg^3)JA7c{}NePMT7KvaESb70FdiS<=3L5SF@Y2^PE1x}gPDNRn2)t{{_GYSFXuuv! zOz$wzzyt@SiytTRO!9p77e(9%7bt*s;!JbsL3cGXH2G1(h{L! z`g;3EhXBArna}|UA7jpur4tbELo-jH!bjfj;m{}S2Avt=;5z|wdBwH%NhPAup6u`` zsP&PT#>U!6Ea?09_Y4T?tp-E3SlwjBOo?TqQ}?CJ?6OLV7KzM+H(tZZHuK7M$np@J zq_|mFVeo!nwt!KmIl*chZ*-hlBFm1m!W`+iU}7EnAy(szpZ*pth^T24XqEAG{x`*)u? z3j-!h3bqU-t_uWr7S`6x$tE=yY-<|NF3SGi(SeNn453h1S!tRmUEqTA6Q~Pe5#xVL zfcO~9gK0Fs}9BXpS9#j3VK<<)gKg3+iH-JvQTqFrxpif{!t1r_Q@TYMF(s} zl>s`3d7({pJqj{1Z~1)Uv69j#xRoEID&?rL&uV3>-t{Es!Qj{w=eXcl!orz|F%KG& z#@6nNC_f4%ATL}jR99DTYz2D--b%LKsG_XQ#t(v`$H$HG@AJhUW^U{)L#a;Us)%kB zSfIOml9CzVeTEX8eTV@GWc>XFh*)fg7}`)5if}2TqONHUGH~ON8S!i~*KTt1FdtKX z@!(S_0Q80;PObg)&WQCEH?(=scQ;fnl;W#QW<^CsDyObazPjCa zkH)7{D)iacG+$bBa-Gu2P%*YT^-XpwcIid7d1%?j%c6)(6bI&KNI8zYGS>jd@g2_J z)vHSfI)v}EhT%(THI6hixSb{CXp!mkAZyp^v9VaLR$wu!;Ar%~r$cV{2sfx-75|X3 zt9Hlq^uDQTdEo)H&=NR{&#p1Q zYBK=Bh(3YvbMZtiJp@n;OrD+ac6r~hCEs{~;DQ!FY#t9F?RM=_7TI|oTiekujE{}I!Mtm9uX33gs zWFy9JP?x1QL3Yk-p7cSuXz!sJ!Pl|@O5~Lu;)Pjr*e-sS>K4OCXa1i|7);5LseRAmQ zje3vDPUIrfYg7EG+e{zsni=Ofkb{Pkbx}v;reF{V$atkEyK35yTDA}!3zhDpcrzhC zz*5@LWS`Yl$`*swk4eje3ZL&Q?XzCLD{ktNiqi$@W+vZ2#ym&0h1o4+>EF$bA~47M zxrS@M&-0n6^0wC4++vecg3*lJvPtVwtY_tOCDO5VBBA_N{^OmqAroy5{{Ehyj9h_f zR3kdytggD+@`qT{MOgyC9Q!kU1()yjvDWbB(>u32YV^*6&cC&ft<58Q}z%|4)YHvwX$RXqeA()Bk(8 printMergedTraces((x), program)) diff --git a/src/test/scala/chiselTestRunner.scala b/src/test/scala/chiselTestRunner.scala index cdd83a8..6b5e66d 100644 --- a/src/test/scala/chiselTestRunner.scala +++ b/src/test/scala/chiselTestRunner.scala @@ -159,12 +159,18 @@ object ChiselTestRunner { binary : List[Int], settings : List[TestSetting], terminalAddress : Addr, - maxSteps : Int): Either[String, (Option[String], List[CircuitTrace])] = { + maxSteps : Int, + testName : String): Either[String, (Option[String], List[CircuitTrace])] = { var sideEffectExtravaganza: Option[(Option[String], List[CircuitTrace])] = None val error: Either[String, Boolean] = scala.util.Try { - chisel3.iotesters.Driver(() => new Tile(), "treadle") { c => + chisel3.iotesters.Driver.execute(Array( + "--generate-vcd-output", "on", + "--backend-name", "treadle", + "--target-dir", "waveforms", + "--top-name", testName + ), () => new Tile) { c => new PeekPokeTester(c) { val testRunner = new ChiselTestRunner( binary, From d08bad4c258b91e0c021cdb28b68001718e58f86 Mon Sep 17 00:00:00 2001 From: peteraaser Date: Mon, 1 Jun 2020 17:36:34 +0200 Subject: [PATCH 10/12] forgot to add --- exercise.org | 134 ++++++++++++++++++++++++++++++++++++++------------- 1 file changed, 100 insertions(+), 34 deletions(-) diff --git a/exercise.org b/exercise.org index e246586..b177244 100644 --- a/exercise.org +++ b/exercise.org @@ -12,57 +12,72 @@ should make it clear how the already finished modules fit into the grander design, making the skeleton-code less mysterious. - To give you an idea of how a drill down looks like, here is my sketch of the ID stage: + To give you *an idea* of how a drill down looks like, here is my sketch of the ID stage: + Note that this sketch does not contain everything an ID stage needs to contain, this is + simply an example. First draft was made on paper. #+CAPTION: Instruction decode stage, showing the various signals. #+attr_html: :width 1000px #+attr_latex: :width 1000px [[./Images/IDstage.png]] I would generally advice to do these on paper, but don't half-ass them. + I advise you to use squared paper unless you are exceptionally talented at freehand drawing. ** Adding numbers In order to get started designing your processor the following steps guide you to - implementing the necessary functionality for adding two integers. + implementing the necessary functionality for adding two integers by implementing the + ~ADDI~ operation which you can read about in [[instructions.org][the ISA overview.]] - Info is progressively being omitted in the latter steps in order to not bog you down - in repeated details. After all brevity is ~~the soul of~~ wit + Info will be progressively omitted in the later steps in order to not bog you down + in repetitive details. After all brevity is wit. *** Step 0 In order to verify that the project is set up properly, open sbt in your project root by typing ~./sbt.sh~ (or simply sbt if you already use scala). sbt, which stands for scala build tool will provide you with a repl where you can - compile and test your code. + compile and test your code. This should be familiar from the chisel introduction exercise. + If you have not done this I advise you to complete it first, it can be found here: [[https://github.com/PeterAaser/tdt4255-chisel-intro][Chisel Intro]] - The initial run will take quite a while to boot as all the necessary stuff is downloaded. + The initial run might take quite a while to finish as all the necessary stuff is downloaded. **** Step ¼: - In your console, type ~compile~ to verify that everything compiles correctly. + In your sbt console, type ~compile~ to verify that everything compiles correctly. **** Step ½: In your console, type ~test~ to verify that the tests run, and that chisel can correctly build your design. - This command will unleash the full battery of tests on you. + This command will unleash the full battery of tests on you, so be prepared for a lot of + console outpute. **** Step ¾: - In your console, type ~testOnly FiveStage.SingleTest~ to run only the tests that you - have defined in the [[./src/test/scala/Manifest.scala][test manifest]] (currently set to ~forward2.s~). + To reduce the amount of tests being run you need to modify the [[./src/test/scala/Manifest.scala][test manifest]]. + In the very top of the ~Manifest~ object, change the value of ~singleTest~ from ~"forward2.s"~ + to ~"addi.s"~. It is not necessary to deal with filepaths, all tests are globally visible and + should preferrably not share names even if they are in different directories. + + The full battery of tests can be found in [[./src/test/resources/tests/]] but for now focus on + [[./src/test/resources/tests/basic/immediate/addi.s]] which as you can see is an assembly file + consisting only of ~addi~ instructions. - As you will first implement addition you should change this to the [[./src/test/resources/tests/basic/immediate/addi.s][add immediate test]]. - Luckily you do not have to deal with file paths, simply changing ~forward2.s~ to - ~addi.s~ suffices. - - Ensure that the addi test is run by repeating the ~testOnly FiveStage.SingleTest~ - command. + When running the following in the sbt console: ~testOnly FiveStage.SingleTest~ + only the test pointed at in ~Manifest.singleTest~ will be run, and the log will be more + thorough. + For now the log will be rather confusing since your processor is doing nothing. + As you follow the guide you will see the output log making more sense! + + Now that only one test is running it is time to take it from red to green. -*** Step 1: +*** Step 1: Starting the clock In order to execute instructions your processor must be able to fetch them. In [[./src/test/main/IF.scala]] you can see that the IMEM module is already set to fetch the current program counter address (line 41), however since the current PC is stuck at 0 it will fetch the same instruction over and over. Rectify this by commenting in ~// PC := PC + 4.U~ at line 48. You can now verify that your design fetches new instructions each cycle by running - the test as in the previous step. + the test as in the previous step. The log should now be much shorter since the tester + will be able to synchronize the clock and correctly deduce that the DUT (device under test) + is not doing anything. *** Step 2: Next, the instruction must be forwarded to the ID stage, so you will need to add the @@ -70,17 +85,29 @@ In [[./src/test/main/IF.scala]] at line 21 you can see how the program counter is already defined as an output. You should do the same with the instruction signal. - + + *Note* + Even though an instruction is just a 32 bit signal it is very useful to treat it as + a more refined type. + In [[./src/main/scala/ToplevelSignals.scala]] you can see the ~Instruction~ class which + comes with many useful helper methods. + When defining an output for the instruction you need to define the type as follows: + ~Output(new Instruction)~ + This is also explained in the comments in the file itself. *** Step 3: As you defined the instruction as an output for your IF module, declare it as an input in your ID module ([[./src/test/main/ID.scala]] line 21). + This input should be defined nearly identical to step 2, only substituting ~Output~ with + ~Input~ like the following: ~Input(new Instruction)~ Next you need to ensure that the registers and decoder gets the relevant data from the instruction. - This is made more convenient by the fact that ~Instruction~ is a class, allowing you to access methods defined on it. + Your IDE should give you some hints as to what these methods are, but if you want to look + at the definition you can check out ~Instruction~ in ~TopLevelSignals.scala~. + Keep in mind that it is only a class during compile and build time, it will be indistinguishable from a regular ~UInt(32.W)~ in your finished circuit. The methods can be accessed like this: @@ -88,20 +115,30 @@ // Drive funct6 of myModule with the 26th to 31st bit of instruction myModule.io.funct6 := io.instruction.funct6 #+END_SRC - + + *** Step 4: Your IF should now have an instruction as an OUTPUT, and your ID as an INPUT, however they are not connected. This must be done in the CPU class where both the ID and IF are instantiated. - In the overview sketch you probably noticed the barriers between IF and ID. + In the overview sketch you probably noticed the *barriers* between IF and ID. In accordance with the overview, it is incorrect to directly connect the two modules, - instead you must connect them using a *barrier*. + instead you must connect them using a barrier. + A barrier is responsible for keeping a value inbetween cycles, facilitating pipelining. There is however one complicating matter: It takes a cycle to get the instruction from the instruction memory, thus we don't want to delay it in the barrier! + It is not very conductive to learning to introduce a rule and then break it right away, + however it *is* a good idea to highlight the importance of RTL sketches! + If you look at the ID stage sketch at the top you can see that the Instruction memory block + is overlapping with the IFID barrier register, reminding you that the instruction should not + be stored in the barrier. + In order to make code readable I suggest adding a new file for your barriers, containing four different modules for the barriers your design will need. + I prefer one file per barrier rather than one large file for all, but you can do as you + please. Start with implementing your IF barrier module, which should contain the following: + An input and output for PC where the output is delayed by a single cycle. @@ -111,19 +148,41 @@ The sketch for your barrier looks like this #+CAPTION: The barrier between IF and ID. Note the passthrough for the instruction [[./Images/IFID.png]] - + + *Hints* + The instruction signal can be wired straight from input to output. + The PC must be saved in a register. You can use ~RegInit(0.U(32.W))~ to define this register. + By driving the register with the input PC and the output with the register you will attain + a one cycle delay. + **** Step 4½: - You can now verify that the correct control signals are produced. Using printf, ensure - that: + You can now verify that the correct control signals are produced, either with printf or gtkwave. + ensure that: + The program counter is increasing in increments of 4 + The instruction in ID is as expected + The decoder output is as expected + The correct operands are fetched from the registers - Keep in mind that printf might not always be cycle accurate, the point is to ensure that - your processor design at least does something! In general it is better to use debug signals - and println, but for quick and dirty debugging printf is passable. + I advise you to use gtkwave first and foremost since it has a learning curve and is very useful. + Unlike previous exercise the outputs are now located in the waveform directory and is automatically + produced each time you run a test. + The following image shows gtkwave output with some formatting showing the desired results: + [[./Images/wave1.png]] + + As you can see, this isn't very helpful, there's a little too much data, however it does verify that something is going on. + If you followed the introduction you might have wondered how the bootloader works, which is what you are seeing here. + While a program is being loaded the setup signal in the testHarness is true (1), thus you should zoom in on what happens as + soon as the setup signal is set to false, which is when your processor starts working. + + By zooming in on this region you should see something similar (I've set data format to decimal in this image to make the output + more readable). + As you can see, the PC signal that ID receives is one cycle delayed compared to IF, whereas the instruction signal is not since + it is one cycle delayed anyways. + [[./Images/wave2.png]] + + You should also verify that ~registers~ get the correct signals. + *** Step 5: You will now have to create the EX stage. Use the structure of the IF and ID modules to guide you here. @@ -148,14 +207,15 @@ When you have finished the barrier, instantiate it and wire ID and EX together with the barrier in the same fashion as IF and ID. You don't need to add every single signal for your barrier, rather you should add them as they - become needed. + become needed, i.e if you need a signal in EX, wire it from ID. *** Step 6: Your MEM stage does very little when an ADDI instruction is executed, so implementing it should be easy. All you have to do is forward signals. From the overview sketch you can see that the same trick used in the IF/ID barrier is utilized - here, bypassing the data memory read value since it is already delayed by a cycle. + here, bypassing the data memory read value since it is already delayed by a cycle, however ~addi~ + does not interact with the data memory so this can be omitted. *** Step 7: You now need to actually write the result back to your register bank. @@ -164,9 +224,15 @@ signals for the instruction currently in WB, so writing to the correct register address should be easy for you ;) - If you ended up driving the register write address with the instruction from IF you should take - a moment to reflect on why that was the wrong choice. - + Did you just realize that you had been driving ~registers.writeEnable~ and ~registers.writeAddress~ + with the instruction from the IFID barrier? + If so the signal is at the correct spot but at the wrong time! + + It is only when the instruction is fully computed that it should be written back, therefore the + control signals for register write enable and address are propagated through the pipeline at the + same pace as the instruction itself so that they reach the register module when the result is + ready! + *** Step 8: Ensure that the simplest addi test works, and give yourself a pat on the back! You've just found the corner pieces of the puzzle, so filling in the rest is "simply" being methodical. From 743734c346d917edea3f00553c78c855e7f7e4eb Mon Sep 17 00:00:00 2001 From: peteraaser Date: Mon, 1 Jun 2020 17:42:31 +0200 Subject: [PATCH 11/12] Add windows test runner. --- src/test/scala/Manifest.scala | 29 ++++++++++++++++++++++++++++- src/test/scala/fileUtils.scala | 5 ++++- 2 files changed, 32 insertions(+), 2 deletions(-) diff --git a/src/test/scala/Manifest.scala b/src/test/scala/Manifest.scala index cc6ed6b..89dd9fb 100644 --- a/src/test/scala/Manifest.scala +++ b/src/test/scala/Manifest.scala @@ -18,7 +18,7 @@ import LogParser._ object Manifest { - val singleTest = "forward2.s" + val singleTest = "addi.s" val nopPadded = false @@ -98,3 +98,30 @@ class AllTests extends FlatSpec with Matchers { } } } + + + +/** + * Not tested at all + */ +class AllTestsWindows extends FlatSpec with Matchers { + it should "just werk" in { + val werks = getAllWindowsTestNames.filterNot(_ == "convolution.s").map{testname => + say(s"testing $testname") + val opts = Manifest.allTestOptions(testname) + (testname, TestRunner.run(opts)) + } + if(werks.foldLeft(true)(_ && _._2)) + say(Console.GREEN + "All tests successful!" + Console.RESET) + else { + val success = werks.map(x => if(x._2) 1 else 0).sum + val total = werks.size + say(s"$success/$total tests successful") + werks.foreach{ case(name, success) => + val msg = if(success) Console.GREEN + s"$name successful" + Console.RESET + else Console.RED + s"$name failed" + Console.RESET + say(msg) + } + } + } +} diff --git a/src/test/scala/fileUtils.scala b/src/test/scala/fileUtils.scala index cfff3fa..6230dba 100644 --- a/src/test/scala/fileUtils.scala +++ b/src/test/scala/fileUtils.scala @@ -61,7 +61,10 @@ object fileUtils { def getAllTests: List[File] = getListOfFilesRecursive(getTestDir.getPath) .filter( f => f.getPath.endsWith(".s") ) - def getAllTestNames: List[String] = getAllTests.map(_.toString.split("/").takeRight(1).mkString) + def getAllTestNames: List[String] = getAllTests.map(_.toString.split("/").takeRight(1).mkString) + + // Not tested. + def getAllWindowsTestNames: List[String] = getAllTests.map(_.toString.split("\\\\").takeRight(1).mkString) def clearTestResults = { try { From 8dc92fb8e1d9c85a134c070e72c9503fb0819bf0 Mon Sep 17 00:00:00 2001 From: peteraaser Date: Tue, 2 Jun 2020 14:58:06 +0200 Subject: [PATCH 12/12] Remove MemToReg. Pretty sure MemToReg is a MIPS relic, it is redundant so long as all memory reads are put into registers. --- src/main/scala/Decoder.scala | 33 +++++------ src/main/scala/ToplevelSignals.scala | 2 - src/test/scala/RISCV/testRunner.scala | 14 +++-- theory1.org | 85 +++++++++++++++++++++++---- theory2.org | 1 - 5 files changed, 100 insertions(+), 35 deletions(-) diff --git a/src/main/scala/Decoder.scala b/src/main/scala/Decoder.scala index 758c250..c1d5273 100644 --- a/src/main/scala/Decoder.scala +++ b/src/main/scala/Decoder.scala @@ -46,13 +46,13 @@ class Decoder() extends Module { */ val opcodeMap: Array[(BitPat, List[UInt])] = Array( - // signal memToReg, regWrite, memRead, memWrite, branch, jump, branchType, Op1Select, Op2Select, ImmSelect, ALUOp - LW -> List(Y, Y, Y, N, N, N, branchType.DC, rs1, imm, ITYPE, ALUOps.ADD), + // signal regWrite, memRead, memWrite, branch, jump, branchType, Op1Select, Op2Select, ImmSelect, ALUOp + LW -> List(Y, Y, N, N, N, branchType.DC, rs1, imm, ITYPE, ALUOps.ADD), - SW -> List(N, N, N, Y, N, N, branchType.DC, rs1, imm, STYPE, ALUOps.ADD), + SW -> List(N, N, Y, N, N, branchType.DC, rs1, imm, STYPE, ALUOps.ADD), - ADD -> List(N, Y, N, N, N, N, branchType.DC, rs1, rs2, ImmFormat.DC, ALUOps.ADD), - SUB -> List(N, Y, N, N, N, N, branchType.DC, rs1, rs2, ImmFormat.DC, ALUOps.SUB), + ADD -> List(Y, N, N, N, N, branchType.DC, rs1, rs2, ImmFormat.DC, ALUOps.ADD), + SUB -> List(Y, N, N, N, N, branchType.DC, rs1, rs2, ImmFormat.DC, ALUOps.SUB), /** TODO: Fill in the blanks @@ -60,23 +60,22 @@ class Decoder() extends Module { ) - val NOP = List(N, N, N, N, N, N, branchType.DC, rs1, rs2, ImmFormat.DC, ALUOps.DC) + val NOP = List(N, N, N, N, N, branchType.DC, rs1, rs2, ImmFormat.DC, ALUOps.DC) val decodedControlSignals = ListLookup( io.instruction.asUInt(), NOP, opcodeMap) - io.controlSignals.memToReg := decodedControlSignals(0) - io.controlSignals.regWrite := decodedControlSignals(1) - io.controlSignals.memRead := decodedControlSignals(2) - io.controlSignals.memWrite := decodedControlSignals(3) - io.controlSignals.branch := decodedControlSignals(4) - io.controlSignals.jump := decodedControlSignals(5) + io.controlSignals.regWrite := decodedControlSignals(0) + io.controlSignals.memRead := decodedControlSignals(1) + io.controlSignals.memWrite := decodedControlSignals(2) + io.controlSignals.branch := decodedControlSignals(3) + io.controlSignals.jump := decodedControlSignals(4) - io.branchType := decodedControlSignals(6) - io.op1Select := decodedControlSignals(7) - io.op2Select := decodedControlSignals(8) - io.immType := decodedControlSignals(9) - io.ALUop := decodedControlSignals(10) + io.branchType := decodedControlSignals(5) + io.op1Select := decodedControlSignals(6) + io.op2Select := decodedControlSignals(7) + io.immType := decodedControlSignals(8) + io.ALUop := decodedControlSignals(9) } diff --git a/src/main/scala/ToplevelSignals.scala b/src/main/scala/ToplevelSignals.scala index fe31613..f0dd271 100644 --- a/src/main/scala/ToplevelSignals.scala +++ b/src/main/scala/ToplevelSignals.scala @@ -39,7 +39,6 @@ object Instruction { class ControlSignals extends Bundle(){ - val memToReg = Bool() val regWrite = Bool() val memRead = Bool() val memWrite = Bool() @@ -51,7 +50,6 @@ class ControlSignals extends Bundle(){ object ControlSignals { def nop: ControlSignals = { val b = Wire(new ControlSignals) - b.memToReg := false.B b.regWrite := false.B b.memRead := false.B b.memWrite := false.B diff --git a/src/test/scala/RISCV/testRunner.scala b/src/test/scala/RISCV/testRunner.scala index e19dd69..e47761b 100644 --- a/src/test/scala/RISCV/testRunner.scala +++ b/src/test/scala/RISCV/testRunner.scala @@ -23,6 +23,7 @@ case class TestOptions( printVMtrace : Boolean, printVMfinal : Boolean, printMergedTrace : Boolean, + printBinary : Boolean, nopPadded : Boolean, breakPoints : List[Int], // Not implemented testName : String, @@ -35,7 +36,8 @@ case class TestResult( program : String, vmTrace : String, vmFinal : String, - sideBySide : String + sideBySide : String, + binary : String ) object TestRunner { @@ -59,6 +61,7 @@ object TestRunner { val vmTraceString = printVMtrace(trace, program) val vmFinalState = finalVM.regs.show val traceString = printLogSideBySide(trace, chiselTrace, program) + val binaryString = printBinary(binary) val regError = compareRegs(trace, chiselTrace) val memError = compareMem(trace, chiselTrace) @@ -69,7 +72,8 @@ object TestRunner { programString, vmTraceString, vmFinalState.toString, - traceString) + traceString, + binaryString) } testResults.left.foreach{ error => @@ -79,15 +83,16 @@ object TestRunner { testResults.map{ testResults => val successful = List(testResults.regError, testResults.memError).flatten.headOption.map(_ => false).getOrElse(true) if(successful) - say(s"${testOptions.testName} succesful") + sayGreen(s"${testOptions.testName} succesful") else - say(s"${testOptions.testName} failed") + sayRed(s"${testOptions.testName} failed") if(testOptions.printIfSuccessful && successful){ if(testOptions.printParsedProgram) say(testResults.program) if(testOptions.printVMtrace) say(testResults.vmTrace) if(testOptions.printVMfinal) say(testResults.vmFinal) if(testOptions.printMergedTrace) say(testResults.sideBySide) + if(testOptions.printBinary) say(testResults.binary) } else{ if(testOptions.printErrors){ @@ -98,6 +103,7 @@ object TestRunner { if(testOptions.printVMtrace) say(testResults.vmTrace) if(testOptions.printVMfinal) say(testResults.vmFinal) if(testOptions.printMergedTrace) say(testResults.sideBySide) + if(testOptions.printBinary) say(testResults.binary) } successful }.toOption.getOrElse(false) diff --git a/theory1.org b/theory1.org index 7a06ab5..3dceefc 100644 --- a/theory1.org +++ b/theory1.org @@ -6,12 +6,15 @@ when grading these questions, thus even with no implementation at all you should still be able to score 100% on the theory questions. - All questions can be answered in a few sentences. Remember that brevity is the - soul of wit, and also the key to getting a good score. + All questions can be answered in a few sentences. Remember that brevity is wit, + and also the key to getting a good score. + You should easily be able to fit your entire answer on a single screen. ** Question 1 - 2 points. + *2 points.* *** Part 1 +**** Part 1½ + *½ points.* When decoding the BNE branch instruction in the above assembly program #+begin_src asm bne x6, x2, "loop", @@ -19,13 +22,27 @@ In your design, what is the value of each of the control signals below? - + memToReg + regWrite + memRead + memWrite + branch + jump +**** Part 1¼ + *½ points.* + When decoding the LW instruction in the above assembly program + #+begin_src asm + jal x1, 0x10(x1) + #+end_src + + In your design, what is the value of each of the control signals below? + + + regWrite + + memRead + + memWrite + + branch + + jump + Keep in mind that your design and your implementation are separate entities, thus you should answer this question based on your ideal design, not your finished implementation. @@ -33,7 +50,6 @@ *** Part 2 During execution, at some arbitrary cycle the control signals are: - + memToReg = 0 + regWrite = 1 + memRead = 0 + memWrite = 0 @@ -48,7 +64,10 @@ implementation. ** Question 2 - 4 points. + *4 points.* + *NO PARTIAL CREDITS* + Since you can test your solution with the testing framework I will not offer any + points for a near correct solution to this problem. Reading the binary of a RISC-V program you get the following: @@ -77,11 +96,23 @@ #+end_src *Your answer should be in the form of a simple asm program.* - (hint 1: the original asm program had a label, you need to infer where that label was) - (hint 2: verify your conclusion by assembling your answer) + + hint 1: + the original asm program had a label, you need to infer where that label was + + + hint 2: + Verify your conclusion by assembling your answer. + To do this, make an asm program, place it with the rest of the tests and set + ~printBinary~ to ~true~ in ~singleTestOptions~ in ~Manifest.scala~ which will + print the full binary of your program. + As long as your program generates the same binary as the supplied your program + is correct. + ** Question 3 - 4 points. + *4 points.* + *NO PARTIAL CREDITS* + Since you can test your solution with the testing framework I will not offer any + points for a near correct solution to this problem. In order to load a large number LUI and ADDI are used. consider the following program @@ -94,5 +125,37 @@ #+end_src a) Which of these instructions will be split into ADDI LUI pairs? - b) Why do the two last instructions need to be handled differently from each other? - (hint: The parser and assembler in the test suite can help you answer this question) + b) Explain in 3 sentences or less *how* the two last ops are handled differently and *why*. + + + hint 1: + The parser and assembler in the test suite can help you answer the first part of + this question (a). + Create an asm file, put it with the rest of the tests and run it, setting the correct + test options in ~singleTestOptions~ defined in ~Manifest.scala~ and observe the output. + + + hint 2: + While it's probably easier to solve this problem using the internet, however you + can also figure out what is happening by browsing the assembler source code which + will hopefully give you a deeper insight into what is going on here. + + Look at ~Parser.scala~, specifically what happens when an ~li~ instruction is parsed. + When parsing an instruction the parser first attempts to apply the + ~singleInstruction~ rule, however this only succeeds if the immediate value + obeys certain restrictions (~nBits <= 12~), if not it fails. + + If the ~singleInstruction~ rule fails the parser then attempts to apply the + ~multipleInstructions~ rule instead which expands operations into a list of real ops. + When this happens the resulting operations are defined as the following: + #+begin_src scala + stringWs("li") ~> (reg <~ sep, (hex | int).map(_.splitHiLo(20))).mapN{ case(rd, (hi, lo)) => { + List( + ArithImm.add(rd, rd, lo), + LUI(rd, if(lo > 0) hi else hi+1), + )}}.map(_.widen[Op]), + #+end_src + This is quite a lot to unpack, but you can focus on the line where the ~LUI~ is constructed. + ~hi~ and ~lo~ are the results of ~splitHiLo~ which splits a 32 bit word into a 12 bit and a + 20 bit. + Try this for yourself on paper; what happens when ~lo~ ends up being a negative number? + What is the interplay between incrementing ~hi~ with 1 and adding a ~lo~ that is represented + as a negative value? diff --git a/theory2.org b/theory2.org index 8400979..fbb99e8 100644 --- a/theory2.org +++ b/theory2.org @@ -46,7 +46,6 @@ rs1: 4 || rs1: 4 || rs1: 1 rs2: 5 || rs2: 6 || rs2: 2 rd: 6 || rd: 4 || rd: 5 - memToReg = false || memToReg = false || memToReg = false regWrite = true || regWrite = false || regWrite = true memWrite = false || memWrite = false || memWrite = false branch = false || branch = true || branch = false